Sending #new to a class causes dev system to loop

42 views
Skip to first unread message

Louis LaBrunda

unread,
Jun 20, 2024, 10:53:13 AMJun 20
to VAST Community Forum
Hi Everyone,

I hope you are all enjoying the heat.

I'm in the windows development environment of V13.  Attached is a fileout of the class.  When I send it #new (which I haven't overridden) or #new: (which I have), the system goes into a loop.  Has anyone ever seen anything like this?  Sending #new to other classes works.

I have loaded the old version of the class and it has the same problem.  I'm going to try loading the old version of the app and see what happens.

I have made a bunch of changes to other apps but I can't imagine any reason why any of them would cause this.

Lou 
KscRaspberryDS18B20TemperatureSensorValues.st

Louis LaBrunda

unread,
Jun 20, 2024, 11:15:39 AMJun 20
to VAST Community Forum
It seems it is not looping but waiting on an OS event.  Older version of the same app does the same.

Lou

Richard Sargent

unread,
Jun 20, 2024, 12:48:16 PMJun 20
to VAST Community Forum
On Thursday, June 20, 2024 at 7:53:13 AM UTC-7 Louis LaBrunda wrote:
Hi Everyone,

I hope you are all enjoying the heat.

I'm in the windows development environment of V13.  Attached is a fileout of the class.  When I send it #new (which I haven't overridden) or #new: (which I have), the system goes into a loop.  Has anyone ever seen anything like this?  Sending #new to other classes works.

What do you see when single stepping through the instance creation in the debugger?

Louis LaBrunda

unread,
Jun 20, 2024, 3:20:40 PMJun 20
to VAST Community Forum
Hi Esteban and Richard,

Esteban emailed me the solution (apparently he had a problem posting it here).  Thanks Esteban.  I have a loop that waits until the current temperature is obtained.  I had code that ensured the temperature was obtained or would be shortly (the time it takes to read a small file from disk - not my idea - that is the way temperatures are obtained on a Raspberry Pi).  I moved when that code was called and made it so it would wait forever because no temperature was available.

Lou

Esteban A. Maringolo

unread,
Jun 21, 2024, 10:31:04 AMJun 21
to va-sma...@googlegroups.com
Louis,

In the #printOn: of your class you have a #waitForTemperature method that enters into an infinite loop with a #whileTrue:, try removing that or changing the #printOn:.

Best regards,

Esteban Maringolo

Senior Software Developer

 emari...@instantiations.com
 @emaringolo
 /emaringolo
 instantiations.com
TwitterLinkedInVAST Community ForumGitHubYouTubepub.dev


--
You received this message because you are subscribed to the Google Groups "VAST Community Forum" group.
To unsubscribe from this group and stop receiving emails from it, send an email to va-smalltalk...@googlegroups.com.
To view this discussion on the web visit https://groups.google.com/d/msgid/va-smalltalk/dea848c9-9a77-4325-a625-808fcef4dcc4n%40googlegroups.com.

Louis LaBrunda

unread,
Jun 21, 2024, 3:12:09 PMJun 21
to VAST Community Forum
Hey Esteban.

I got your email yesterday and fixed the problem.  The post above yours was me updating the group.  It was the kind of stupid error I would have found eventually but I decided to ask the group for help, then had lunch and by the time I finished, you had the answer.

Thanks again.

Lou 

Reply all
Reply to author
Forward
0 new messages