but i do find these function in the responding file, for example, the getMemorySystemInstance. i find it is defined in the MultiChannelMemorySystem.cpp
but the "MultiChannelMemorySystem.h" isn't included by the "Dram.h"
by the way which edition of VCS can support the VCS Simulation
vcs -full64 -quiet -timescale=1ns/1ps -sverilog -debug_pp -Mdir=Top.csrc +v2k +vcs+lic+wait +vcs+initreg+random +define+CLOCK_PERIOD=1 +lint=TFIPC-L +libext++.v -y /cad/synopsys/dc_shell/J-2014.09-SP3/dw/sim_ver +incdir+/cad/synopsys/dc_shell/J-2014.09-SP3/dw/dw02/src_ver -cpp g++ -LDFLAGS "-no-pie -L../ -ldramsim -lstdc++ -Wl,-rpath=../" -CFLAGS "-O0 -g -I/cad/synopsys/vcs/K-2015.09-SP2-7/include -I../../cpp/SW -I../../vcs.sw-resources -I../dramShim -I../DRAMSim2 -I../ -fPIC -std=c++11 -L../ -ldramsim -lstdc++ -Wl,-rpath=../" -o accel.bit.bin *.v *.sv sim.cpp
g++ -o ../accel.bit.bin -no-pie -L../ -ldramsim -lstdc++ -Wl,-rpath=../ -Wl,-rpath-link=./ -Wl,-rpath='$ORIGIN'/accel.bit.bin.daidir/ -Wl,-rpath='$ORIGIN'/accel.bit.bin.daidir//scsim.db.dir sim.o _csrc0.so pre_vcsobj_1_1.so rmapats_mop.o rmapats.o rmar.o /cad/synopsys/vcs/I-2014.03-2/amd64/lib/libzerosoft_rt_stubs.so /cad/synopsys/vcs/I-2014.03-2/amd64/lib/libvirsim.so /cad/synopsys/vcs/I-2014.03-2/amd64/lib/liberrorinf.so /cad/synopsys/vcs/I-2014.03-2/amd64/lib/libsnpsmalloc.so /cad/synopsys/vcs/I-2014.03-2/amd64/lib/libvcsnew.so /cad/synopsys/vcs/I-2014.03-2/amd64/lib/libuclinative.so -Wl,-whole-archive /cad/synopsys/vcs/I-2014.03-2/amd64/lib/libvcsucli.so -Wl,-no-whole-archive ./../accel.bit.bin.daidir/vc_hdrs.o /cad/synopsys/vcs/I-2014.03-2/amd64/lib/vcs_save_restore_new.o -ldl -lm -lc -lpthread -ldl
g++ -o ../accel.bit.bin -Wl,-rpath-link=./ -Wl,-rpath='$ORIGIN'/accel.bit.bin.daidir/ -Wl,-rpath=./accel.bit.bin.daidir/ -Wl,-rpath='$ORIGIN'/accel.bit.bin.daidir//scsim.db.dir -L/usr/lib/x86_64-linux-gnu -L/lib/x86_64-linux-gnu -Wl,--no-as-needed -no-pie -L../ -ldramsim -lstdc++ -Wl,-rpath=../ -rdynamic sim.o objs/amcQw_d.o _48507_archive_1.so SIM_l.o uM9F1_0x2aB.o rmapats_mop.o rmapats.o rmar.o rmar_llvm_0_1.o rmar_llvm_0_0.o /cad/synopsys/vcs/K-2015.09-SP2-7/linux64/lib/libzerosoft_rt_stubs.so /cad/synopsys/vcs/K-2015.09-SP2-7/linux64/lib/libvirsim.so /cad/synopsys/vcs/K-2015.09-SP2-7/linux64/lib/liberrorinf.so /cad/synopsys/vcs/K-2015.09-SP2-7/linux64/lib/libsnpsmalloc.so /cad/synopsys/vcs/K-2015.09-SP2-7/linux64/lib/libvcsnew.so /cad/synopsys/vcs/K-2015.09-SP2-7/linux64/lib/libsimprofile.so /cad/synopsys/vcs/K-2015.09-SP2-7/linux64/lib/libuclinative.so -Wl,-whole-archive /cad/synopsys/vcs/K-2015.09-SP2-7/linux64/lib/libvcsucli.so -Wl,-no-whole-archive ./../accel.bit.bin.daidir/vc_hdrs.o /cad/synopsys/vcs/K-2015.09-SP2-7/linux64/lib/vcs_save_restore_new.o -ldl -lm -lc -lpthread -ldl