Hardware description languages

24 views
Skip to first unread message

Hugo Mills

unread,
Oct 20, 2023, 4:37:44 PM10/20/23
to reading-...@googlegroups.com
I know there are (or have been) some memober with expertise in
HDLs, so I hope there's someone still on the mailing list who can
offer an opinion.

Firstly, what would be a good book (physical, printed, flat dead
tree sort of thing) to learn VHDL or SystemVerilog from?

Secondly, what's a decent set of open source tools that I can use
to play with this stuff, up to and including synthesis? I'm looking at
Yosys, which seems to be able to sythesise for the Xilinx Spartan 6,
which is what's in the SpecNext.

I'm a complete novice at this sort of thing, but I've picked up
some of the basics over the years, down to the logic gate level, and
up to state machines. I feel the need to learn to use the tooling now.

Thanks,
Hugo.

--
Hugo Mills | But somewhere along the line, it seems
hugo@... carfax.org.uk | That pimp became cool, and punk mainstream.
http://carfax.org.uk/ |
PGP: E2AB1DE4 | Machinae Supremacy, Rise

Richard Ibbotson

unread,
Oct 20, 2023, 5:22:34 PM10/20/23
to reading-...@googlegroups.com

Our wiz on FPGA and VHDL is Rajkumar Raval. Not sure if he is still connected with rLab. Else you will find him on LinkedIn.
For Spartan devices I have only used the Xylinx tools. The open source support for Lattice 40K devices is good but not sure about Spartan.
Try to contact Raj.
Best regards,
Richard

Sent via Richard's Phone

> On 20 Oct 2023, at 21:37, Hugo Mills <hu...@carfax.org.uk> wrote:
>
>  I know there are (or have been) some memober with expertise in
> --
> You received this message because you are subscribed to the Google Groups "rLab / Reading's Hackspace" group.
> To unsubscribe from this group and stop receiving emails from it, send an email to reading-hacksp...@googlegroups.com.
> To view this discussion on the web, visit https://groups.google.com/d/msgid/reading-hackspace/20231020203740.GF23334%40savella.carfax.org.uk.

David Price

unread,
Oct 21, 2023, 12:33:07 AM10/21/23
to rLab / Reading's Hackspace
Hi Hugo,
As a beginner myself - For learning about FPGAs I am using Lattice devices - iCE40 and ECP5 are supported by iCEStudio which packages open source Yosys and associated tools with a graphical programming front-end. I am using the iCEstick kit (1k gates) and a ULX3S board, (85k gates), the latter can support a wide range of projects including SoCs running Linux.
My next step will be to explore Verilog using F4PGA (f4pga.org), an evolution of the Symbiflow project, which provides an open source toolset for Lattice boards and Xylinx (Artix-7) - now owned by AMD. Note Altera who produce the SPartan FPGA are now owned by Intel.

There are a variety of HDLs now including the python based Amaranth/nMigen and Java based SpinalHDL.

I don't know of an open source route for the Altera/Intel Spartan series.  But all three manufacturers do offer a free version of their proprietary toolchain, though they tend to be humungous and may impose some limitations.

The hardware can get expensive quickly, the Lattice devices tend to be the cheapest though of generally lower performance. The iCEstick is the Lattice intro unit and although only 1k gates is enough to implement a 6502 CPU. The ULX3S board is from Radiona (https://radiona.org/ulx3s/) is now around £190 via Crowdsupply.com). For Artix I got an Arty A7-35T from Digilent who now have a Basys 3 Artix-7 trainer board with the same device for  £168 from Farnell.

There are some low cost routes to acquire usable FPGA boards by repurposing products designed to run LED arrays etc - Tom Verbeure is an excellent guide on this (https://tomverbeure.github.io/

Hackaday carry an occasional article.

hope this helps .. enjoy the journey.
david

David Price

unread,
Oct 21, 2023, 12:46:16 AM10/21/23
to rLab / Reading's Hackspace
oops my mistake, Spartan devices are from Xilinx/AMD, it's the Cyclone series from Altera/Intel

Reply all
Reply to author
Forward
0 new messages