generation of pfring packet headers

10 views
Skip to first unread message

Robert Olivier

unread,
Mar 29, 2021, 12:55:01 PM3/29/21
to PcapPlusPlus support
Howdy,

I have a requirement to generate RADIUS packets for use in a unit testing environment. 

I have a C++ class with a method that takes a pfring_pkthdr and the packet data as inputs and I want to craft RADIUS packets in my test code, then send them into this method and verify some state.

Can PcapPlusPlus generate a proper pfring_pkthdr with all the headers parsed to layer 4 such that I can accomplish this?

PcapPlusPlus Support

unread,
Mar 31, 2021, 2:08:40 AM3/31/21
to PcapPlusPlus support
Hi Robert,

PcapPlusPlus cannot currently generate a proper pfring_pkthdr, but it can generate a RADIUS packet which you can then convert to a byte array and add a pfring_pkthdr of your own.
Please let me know if that makes sense.

Thanks,
PcapPlusPlus maintainer

Robert Olivier

unread,
Apr 8, 2021, 4:41:40 PM4/8/21
to PcapPlusPlus support
yup makes sense. thanks!
Reply all
Reply to author
Forward
0 new messages