On Thursday, March 30, 2017 at 1:46:43 PM UTC-4, Alexey Lavrov wrote:
1) There is a separate constraint file for vc707, which is located in $DV_ROOT/design/xilinx/vc707/constraints.xdc. We use this one.
2) DDR constraints are generated by MIG7 IP core, and not included into constraints.xdc. There shouldn't be any I/O Standard error. If you see one in Vivado's logs, please, let us know what is it. Anything that has to do with DDR
3) False paths should be read. Let us know which are not All four of them included in the constraint file
4) All IP blocks are specified by respective xci files and included in the project. Let us know which are not We only see clk_mmcm and the mig7 ipcore, nothing else.
5) Timing can fail because of non-deterministic routing and placing algorithms. We had to manually slow down the clock. However, this is probably due to the false path issue as I think those are the paths.
We are now also running into issues around using more than one thread.
Every time we try to do config_num_threads and Threads_4 or threads_3 or
any of them it fails.
In lsu_qdp1.v
//bug2705 - speculative pick in w-cycle
wire lmq3_pcx_pkt_vld ;
assign lmq3_pcx_pkt_vld =
lmq3_pcx_pkt_tmp[`LMQ_VLD] & ~lsu_ld3_spec_vld_kill_w2 ;
Also, we managed to fix the constraint file by manually adding the ddr constraints and then forcing it to use them. I suppose the MIG7 is not working? It does say that the configuration failed when I try to open it.
Specific false paths that are failing
set_clock_groups -name sync_gr1 -logically_exclusive -group chipset_clk_clk_mmcm -group [get_clocks -include_generated_clocks mc_sys_clk_clk_mmcm]
set_false_path -to [get_cells -hierarchical *afifo_ui_rst_r*]
set_false_path -to [get_cells -hierarchical *ui_clk_sync_rst_r*]
set_false_path -to [get_cells -hierarchical *ui_clk_syn_rst_delayed*]
set_false_path -to [get_cells -hierarchical *init_calib_complete_f*]