Bonjour à tous,
J'ai un soucis et la ça me sort par les trous de nez !
L'erreur modelsim est dans l'objet de ce sujet ligne 15. Le "in" correspond au in de mon premier port mais je ne comprend pas. J'imagine que l'erreur est avant l'entité mais c'est juste les librairie de base :\
A moins que mon modelsim soit mal configuré mais ça m'étonnerai
Quelqu'un à une idée ?
Merci :)
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity MTS_algo is
port(
(15) trigger_direct in: std_logic;
vect_in_0 in: std_logic_vector(96 downto 0);
vect_in_clk in: std_logic
);
end MTS_algo;