ModelSim PE Student Edition 10.2b is Now Available

3,454 views
Skip to first unread message

student...@model.com

unread,
May 28, 2013, 4:26:21 PM5/28/13
to modelsim-pe-s...@googlegroups.com
Students - 

ModelSim PE Student Edition 10.2b is now available for download on the Model.com website. 
 
Please note: you MUST download the latest release for the license file to generate.

http://www.model.com/content/modelsim-pe-student-edition-hdl-simulation 

As always, you will need to: 

1) Download
2) Install 
3) After installation, browser will open to request license file 
4) License.dat file will be emailed to you (check your spam folder) 
5) Place .dat file in the correct location 

lucas arruda ramalho

unread,
Jun 21, 2013, 9:05:13 AM6/21/13
to modelsim-pe-s...@googlegroups.com
Hi folks
I was using the ModelSim PE Student Edition 10.1c and my license expired. Considering I didn't find a license for this older version, I install the new one (10.2b). But this version presented an error when I tried to compile the VHDL code, as follows:
 
# vsim -L DNP3P2P_transmission_lib -L hds_package_library -l transcript.txt -i -multisource_delay latest -t ns +typdelays DNP3P2P_transmission_lib.Topology(struct) 
# Loading std.standard
# ** Fatal: (vsim-3381) Obsolete library format for design unit. Design unit 'D:/backup pendrive/Pesquisa Mestrado/artigos/smart energy - DNP3/mentor/HDS/DNP3P2P_transmission/DNP3P2P_transmission_lib/work.topology(struct)'
#    Time: 0 ns  Iteration: 0  Root: / File: NOFILE
# FATAL ERROR while loading design
# Error loading design

Anyone has an ideia that can fix it? I don't want to design again all the project.
Thanks 

Larry

unread,
Jun 21, 2013, 12:44:19 PM6/21/13
to modelsim-pe-s...@googlegroups.com
vsim is not the compiler.  You need to use vcom to recompile your vhdl design.  There always library format changes between major releases (10.1x->10.2x) so you have to recompile your entire design.

Saad Itani

unread,
Jun 27, 2013, 3:40:38 AM6/27/13
to modelsim-pe-s...@googlegroups.com
Hi,

I have installed modelsim 10.2b on windows 7 x64 and still when clicking Finish, the Internet explorer window does not open! Any ideas? I have disbaled anti-virus and made sure the IE Is default and disabled all firewall profiles and still not getting the License webpage. Any ideas?

Milad Poortaghi

unread,
Jul 6, 2013, 3:26:15 PM7/6/13
to modelsim-pe-s...@googlegroups.com
after install goto http://portal.model.com/d/license_request.asp

and in email address you must add Gmail Account 


Milad Poortaghi

unread,
Jul 6, 2013, 3:27:33 PM7/6/13
to modelsim-pe-s...@googlegroups.com
it is licence problem You need add licence


and in email address add Gmail account

and etc.


Enjoy

Red-Green-Blue

unread,
Jul 23, 2013, 5:27:09 AM7/23/13
to modelsim-pe-s...@googlegroups.com
Hi
I didn't recieve any License File!
Do u know why?

Red-Green-Blue

unread,
Jul 23, 2013, 5:52:05 AM7/23/13
to modelsim-pe-s...@googlegroups.com

Hi
I didn't recieve any License File by email!
Do u know why?

student...@model.com

unread,
Jul 26, 2013, 4:50:45 PM7/26/13
to modelsim-pe-s...@googlegroups.com
People:

Clicking the license request link directly WILL NOT GET YOU A LICENSE FILE.

I repeat.

Clicking on the url provided by Milad will absolutely not get you a license file.

You MUST download Student Edition, install it and then the License Request Page will load for you.

THIS IS THE ONLY WAY TO RECEIVE the license file.

Sachin Jain

unread,
Oct 29, 2013, 8:29:08 AM10/29/13
to modelsim-pe-s...@googlegroups.com
Studends-
                I have installed modelsim10.2c and i have save license file where i have to save but i am getting error when i simulate.

chinmai panibathe

unread,
Feb 12, 2016, 5:57:58 PM2/12/16
to ModelSim PE Student Edition
Can you please tell me how can i install modelsim on windows 10. every time i run the .exe file it extracts for a couple of seconds and disappears.
Reply all
Reply to author
Forward
0 new messages