Error Loading Design

236 views
Skip to first unread message

hafeezull...@gmail.com

unread,
Oct 20, 2021, 4:10:19 PM10/20/21
to ModelSim PE Student Edition
hi, whenever I try to simulate my modules I get the following error.

# ** Error (suppressible): (vsim-12110) All optimizations are disabled because the -novopt option is in effect. This will cause your simulation to run very slowly. If you are using this switch to preserve visibility for Debug or PLI features, please see the User's Manual section on Preserving Object Visibility with vopt. -novopt option is now deprecated and will be removed in future releases.
# Error loading design

Any solution for this? 

Capture.PNG
Reply all
Reply to author
Forward
0 new messages