Hello, I am just trying to get some LEDs to light up to test that Vivado 2016.1 works. I have the snickerdoodle black. I add the source and the Zynq PS block, run connection automation, create the HDL wrapper, generate the bitstream. If i load it into the boot partition of the SD card, the white light pulses like it is supposed to, but I get no voltage on any of the outputs.
module blink_led(led);
output [7:0] led;
reg [7:0] clk_div;
assign led[7:0] = clk_div[7:0];
initial clk_div = 8'b11111111;
endmodule
This is my constraints file:
set_property IOSTANDARD LVCMOS33 [get_ports {led[0]}]
set_property PACKAGE_PIN N17 [get_ports {led[0]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led[1]}]
set_property PACKAGE_PIN P16 [get_ports {led[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led[2]}]
set_property PACKAGE_PIN P18 [get_ports {led[2]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led[3]}]
set_property PACKAGE_PIN P15 [get_ports {led[3]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led[4]}]
set_property PACKAGE_PIN T17 [get_ports {led[4]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led[5]}]
set_property PACKAGE_PIN R17 [get_ports {led[5]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led[6]}]
set_property PACKAGE_PIN R18 [get_ports {led[6]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led[7]}]
set_property PACKAGE_PIN R16 [get_ports {led[7]}]
