errores icestudio v0.11 con windows 10

52 views
Skip to first unread message

Milagros Montijano Moreno

unread,
Apr 15, 2024, 4:32:07 AMApr 15
to FPGAwars: explorando el lado libre
Hola.
No conseguimos que nos reconozca la placa Alhambra II, no carga los programas. Tenemos windows 10. Iinstalamos el toolchain a Apio 0.8.4 y los drivers tal y como dice el tutorial, pero no hay manera de que funcione la placa. Quizá el tutorial está anticuado y se hace de otra forma?

Democrito

unread,
Apr 15, 2024, 5:44:01 AMApr 15
to FPGAwars: explorando el lado libre
Ahora, en vez de instalar el driver "libusbK", instalad el driver "WinUSB" desde Zadig.
Zadig está integrado dentro de Icestudio para Windows, y se invoca dándole a la opción "Tools" y luego "Drivers" y luego Enable.

Milagros Montijano Moreno

unread,
Apr 16, 2024, 9:03:14 AMApr 16
to fpga-wars-explora...@googlegroups.com
sigue sin funcionar. he instalado y desinstalado varias veces. Te pongo aquí lo que tengo, por si se te ocurre algo.
- Toolchain Apio 0.9.3
- opciones en zadig
- errores que me da
image.png

-errores:
image.png
image.png

El lun, 15 abr 2024 a las 11:44, Democrito (<spo...@gmail.com>) escribió:
Ahora, en vez de instalar el driver "libusbK", instalad el driver "WinUSB" desde Zadig.
Zadig está integrado dentro de Icestudio para Windows, y se invoca dándole a la opción "Tools" y luego "Drivers" y luego Enable.

--
Has recibido este mensaje porque estás suscrito al grupo "FPGAwars: explorando el lado libre" de Grupos de Google.
Para cancelar la suscripción a este grupo y dejar de recibir sus mensajes, envía un correo electrónico a fpga-wars-explorando-el...@googlegroups.com.
Para ver esta conversación en el sitio web, visita https://groups.google.com/d/msgid/fpga-wars-explorando-el-lado-libre/ba730eba-b2a6-40e6-af42-4aa234186129n%40googlegroups.com.

Democrito

unread,
Apr 16, 2024, 10:36:40 AMApr 16
to FPGAwars: explorando el lado libre
Vale, en esta versión hay dos problemas y has arreglado uno de ellos, ahora queda el otro. Son errores temporales que en la siguiente versión ya estarán corregidos.

Dentro de Windows hay una cosa llamada "Variables de entorno" o "Variables del sistema", te lleva a la misma opción. Si tienes problemas en encontrar esto me lo dices.

Una vez dentro has de añadir lo siguiente (imagen)

variables de entorno add python.png

Le das al botón "Nueva" de la parte de arriba y copias y pegas esto, son dos campos (te quedará como en la imagen y que he rotulado en rojo)

PYTHONIOENCODING     utf-8
PYTHONLEGACYWINDOWSSTDIO    utf-8

En teoría no te hará falta reiniciar, pero sí ha de estar cerrado Icestudio.

Una vez hecho todo esto, abres Icestudio y debería de funcionar todo correctamente.

Un saludo.

Milagros Montijano Moreno

unread,
Apr 19, 2024, 1:09:10 PMApr 19
to fpga-wars-explora...@googlegroups.com
Ya funciona. No lo hubiera conseguido sin tus instrucciones. Muchas gracias. 
Saludos.
Milagros Montijano

--
Has recibido este mensaje porque estás suscrito a un tema del grupo "FPGAwars: explorando el lado libre" de Grupos de Google.
Para cancelar la suscripción a este tema, visita https://groups.google.com/d/topic/fpga-wars-explorando-el-lado-libre/znFG4OwxM8U/unsubscribe.
Para cancelar la suscripción a este grupo y a todos sus temas, envía un correo electrónico a fpga-wars-explorando-el...@googlegroups.com.
Para ver esta conversación en el sitio web, visita https://groups.google.com/d/msgid/fpga-wars-explorando-el-lado-libre/9a678465-536f-4c0a-9925-43110f9604bbn%40googlegroups.com.
Reply all
Reply to author
Forward
0 new messages