[CIAA] Placa EDU-FPGA v1.0

726 views
Skip to first unread message

Obijuan

unread,
Sep 24, 2020, 6:13:38 AM9/24/20
to FPGAwars: explorando el lado libre
Hola,

A través de Roberto Dhios (@rahdios) por twitter[1] me he enterado de la existencia de la placa EDU-FPGA, dentro del proyecto CIAA (Computadora Industrial Abierta Argtentina)


Utiliza la FPGA Lattice iCE40 HX4K (pero al usarse herramientas libres, la iCE40HK4K es en realidad una 8K)

Si alguien en la lista tiene acceso a esta placa, sería genial que ayudase con las pruebas para incluirla en Apio/Icestudio

Saludos, Obijuan

Roberto A. Dhios

unread,
Sep 24, 2020, 7:55:27 AM9/24/20
to fpga-wars-explora...@googlegroups.com

Hola,

Obijuan yo adquirí una EDU-FPGA y me estaría llegando la semana próxima. Ni bien la tenga en mis manos haré pruebas con Apio/Icestudio.

Saludos
Roberto

--
Has recibido este mensaje porque estás suscrito al grupo "FPGAwars: explorando el lado libre" de Grupos de Google.
Para cancelar la suscripción a este grupo y dejar de recibir sus mensajes, envía un correo electrónico a fpga-wars-explorando-el...@googlegroups.com.
Para ver esta conversación en el sitio web, visita https://groups.google.com/d/msgid/fpga-wars-explorando-el-lado-libre/fe13b0e9-12e9-427e-8fae-1386510534dbn%40googlegroups.com.

radhios

unread,
Sep 24, 2020, 3:36:31 PM9/24/20
to FPGAwars: explorando el lado libre
Hola Juan,

Por donde debería empezar para incluir la EDU-FPGA dentro de las soportadas por el Icestudio??

Muchas gracias
Roberto

vas...@gmail.com

unread,
Sep 25, 2020, 12:15:40 PM9/25/20
to FPGAwars: explorando el lado libre
Hola radhios!

Yo soy de argentina, ¿sabes como puedo adquirir una?

Gracias!.
               Saludos. Diego

vas...@gmail.com

unread,
Sep 25, 2020, 12:25:57 PM9/25/20
to FPGAwars: explorando el lado libre

vas...@gmail.com

unread,
Sep 25, 2020, 1:22:46 PM9/25/20
to FPGAwars: explorando el lado libre
Obijuan y Radhios!

Voy adquirir una placa de estas!. tambíen estoy interesado en ayudar para darle soporte y con las pruebas!.

Saludos!

Obijuan

unread,
Sep 28, 2020, 1:31:14 AM9/28/20
to FPGAwars: explorando el lado libre
Hola Radhios!

El primer paso es darle soporte en Apio y comprobar que funciona. Necesitaremos un ejemplo "hola mundo" en verilog: por ejemplo que encienda uno de los LEDs al apretar un pulsador, y el fichero .pcf. También necesitaremos el modelo de FPGA completo y el vendor-id y product-id del dispositivos USB

La semana anterior la he tenido complicada. Pero esta semana creo que podré sacar un hueco para echarte una mano. Ve recopilando la información y con ella dejamos lista una primera versión en apio. Aprovecharé para dejar documentados los pasos

Una vez que funcione en apio, es muy rápido integrarla en Icestudio. También aprovecharemos para documentarlo

Saludos, Obijuan

Obijuan

unread,
Sep 28, 2020, 11:45:55 AM9/28/20
to FPGAwars: explorando el lado libre
Hola!

Ya tengo el soporte de apio casi listo, pero necesito que alguien que tenga la EDU-FPGA me pase esta información desde una máquina Linux:

* Abre un terminal
* Conecta la EDU-FPGA al USB
* Ejecuta este comando en el terminal:

lsusb -d 0403: -v | grep iProduct

y mándame todo el texto que aparezca

Necesito ver la cadena de identificación grabada en el FTDI para que funcione el upload correctamente

Gracias :-)

Saludos, Obijuan

Roberto A. Dhios

unread,
Sep 28, 2020, 11:58:50 AM9/28/20
to fpga-wars-explora...@googlegroups.com
Me da este error en dos PC distintas:

roberto:~/ $ lsusb -d 0403: -v | grep iProduct                                                           [12:53:32] │
can't get debug descriptor: Resource temporarily unavailable                                                        │
  iProduct                2 Dual RS232-HS                                                                           │

Saludos

-----
Roberto A. Dhios
email:rad...@gmail.com
msg:gtalk,skype:radhios
in:ar.linkedin.com/in/radhios
mobil:+54-911-4444-4088


Obijuan

unread,
Sep 28, 2020, 12:15:31 PM9/28/20
to FPGAwars: explorando el lado libre
Perfecto! El error no es problema. Lo importante es la cadena: "Dual RS232-HS" :-)

¡Gracias!

Saludos, Obijuan

vas...@gmail.com

unread,
Sep 28, 2020, 12:51:38 PM9/28/20
to FPGAwars: explorando el lado libre
Hola! Obijuan y Roberto

Recibi muy rapido la EDU-FPGA :)

Ya quiero integrarla a icestudio :)

Saludos.
               Diego

Juan Gonzalez Gomez

unread,
Sep 28, 2020, 1:44:15 PM9/28/20
to FPGA-WARS: explorando el lado libre
Perfecto! Yo acabo de subir al repo de desarrollo de apio un soporte inicial para que hagáis pruebas. Cuantas más personas lo prueben mucho mejor

Vamos con la fase 1: la síntesis/carga del bitstream usando Apio

Estas pruebas están pensadas para desarrolladores, así que os paso los comandos que hay que usar en Ubuntu/Debian. Si tenéis otras distros lo vamos viendo. Tampoco sé qué paquetes tenéis instalados, así que si algo fallo mandad la pantalla de error y ver qué os falta por instalar

* 1. Instalar la versión develop de apio. Desde un termial ejecutar este comando:


(Tenéis que tener instalado git y python3-pip para que funcione)

Esto os instala apio en el sistema (Se debería usar sin sudo, pero para hacer las pruebas más sencillas prefiero que os lo instale en el sistema)

* 2. Comprobar que se os ha instalado la versión correcta de apio: 0.5.5 (es la de desarrollo actual)

$ apio --version
apio, version 0.5.5

* 3. Ahora instalamos todos los paquetes de apio (ya no es necesario sudo):

$ apio install --all

* 4. Comprobamos que está todo instalado ok:

$ apio install -l
--------------------------------------------------------------------------------
Name        Description                    Version
--------------------------------------------------------------------------------
ecp5        Lattice ECP5 toolchain         2019.12.11
examples    Verilog examples               0.0.13  
ice40       Lattice ICE40 toolchain        2019.12.11
iverilog    Icarus Verilog toolchain       1.1.1  
scons       Scons tool                     3.0.1  
system      System tools                   1.1.1  
verilator   Verilator toolchain            1.0.0  
yosys       Yosys toolchain                2019.12.11

* 5. (Desde cualquier directorio, por ejemplo test dentro de tu home): Descargar el ejemplo hola mundo. Es un ejemplo que enciende el led verde de la EDU-CIAA-FPGA:

$ apio examples -d EDU-CIAA-FPGA/led_green

Se nos crea el directorio EDU-CIAA-FPGA/led_green con el ejemplo dentro

* 6. Entramos el directorio del ejemplo:

$ cd EDU-CIAA-FPGA/led_green

* 7. Comprobamos que están los ficheros

$ ls
apio.ini  info  led_green.pcf  led_green.v

* 7. Vamos a comprobar la síntesis y generacion del bitstream

$ apio build
[Mon Sep 28 19:31:17 2020] Processing edu-ciaa-fpga
----------------------------------------------------------------------------------
yosys -p "synth_ice40 -json hardware.json" -q led_green.v
nextpnr-ice40 --hx8k --package tq144:4k --json hardware.json --asc hardware.asc --pcf led_green.pcf -q
Warning: No clocks found in design
Warning: No clocks found in design
2 warnings, 0 errors
icepack hardware.asc hardware.bin
========================== [SUCCESS] Took 0.82 seconds ==========================

* 8.Comprobación de los archivos generados. Si todo ha ido bien, debe estar el fichero hardware.bin que contiene el bitstream (junto con otros ficheros intermedios)

$ ls
apio.ini      hardware.bin   info           led_green.v
hardware.asc  hardware.json  led_green.pcf

* 9. Para hacer la prueba de descarga hay que tener los drivers configurados. Si habéis usado icestudio con otros placas ya lo tendréis configurado. El comando apio para hacerlo es:

$  apio drivers --ftdi-enable

* 10. La prueba final: vamos a comprobar si carga correctamente el bitstream en la EDU-FPGA. Esta es la única prueba que yo no puedo hacer (las anteriores han funcionado ok). Conectadla EDU-FPGA y ejecutar este comando:

$ apio upload

Si todo va bien, se debería encender el LED verde de la placa (D6)

Probadlo y enviadme el contenido de la consola del paso que no os funcione
Cuando esté funcionando probamos con más ejemplos para probar el .pcf completo de la placa (que habrá que hacerlo/obtenerlo). Luego el soporte en icestudio es trivial

Saludos, Obijuan

Roberto A. Dhios

unread,
Sep 28, 2020, 1:58:13 PM9/28/20
to fpga-wars-explora...@googlegroups.com
Gracias!! Ahí vamos a empezar a probar!!!



-----
Roberto A. Dhios
email:rad...@gmail.com
msg:gtalk,skype:radhios
in:ar.linkedin.com/in/radhios
mobil:+54-911-4444-4088

Facundo Larosa

unread,
Sep 28, 2020, 2:08:17 PM9/28/20
to fpga-wars-explora...@googlegroups.com
Hola Obijuan,

Te envío el listado completo del comando por si es de ayuda.

gracias,

Facundo

edufpga.log

Juan Gonzalez Gomez

unread,
Sep 28, 2020, 2:09:36 PM9/28/20
to FPGA-WARS: explorando el lado libre
Estupendo Facundo! Muchísimas gracias :-)

Saludos, Obijuan

Roberto A. Dhios

unread,
Sep 28, 2020, 2:11:00 PM9/28/20
to fpga-wars-explora...@googlegroups.com
[Mon Sep 28 15:06:06 2020] Processing edu-ciaa-fpga                                                                 │
--------------------------------------------------------------------------------------------------------------------│

yosys -p "synth_ice40 -json hardware.json" -q led_green.v                                                           │
nextpnr-ice40 --hx8k --package tq144:4k --json hardware.json --asc hardware.asc --pcf led_green.pcf -q              │
Warning: No clocks found in design                                                                                  │
Warning: No clocks found in design                                                                                  │
2 warnings, 0 errors                                                                                                ├────────────────────────────────────────────────────────────────────────────────────────────────────────────────────
icepack hardware.asc hardware.bin                                                                                   │roberto:~/ $                                                                                             [12:50:00]
/home/roberto/.apio/packages/tool-scons/script/../engine/SCons/Defaults.py:213: SyntaxWarning: "is not" with a liter│
al. Did you mean "!="?                                                                                              │
if len(operation_list) is not 2:                                                                                    │
=========================================== [SUCCESS] Took 1.84 seconds =========================================== │
roberto:led_green/ $ apio drivers --ftdi-enable                                                          [15:06:08] │
Configure FTDI drivers for FPGA                                                                                     │
Already enabled                                                                                                     │
roberto:led_green/ $ apio upload                                                                         [15:06:37] │
[Mon Sep 28 15:06:45 2020] Processing edu-ciaa-fpga                                                                 │
--------------------------------------------------------------------------------------------------------------------│
iceprog -d i:0x0403:0x6010:0 hardware.bin                                                                           │
init..                                                                                                              │
cdone: high                                                                                                         │
reset..                                                                                                             │
cdone: low                                                                                                          │
flash ID: 0xEF 0x30 0x13 0x00                                                                                       │
file size: 135100                                                                                                   │
erase 64kB sector at 0x000000..                                                                                     │
erase 64kB sector at 0x010000..                                                                                     │
erase 64kB sector at 0x020000..                                                                                     │
programming..                                                                                                       │
reading..                                                                                                           │
VERIFY OK                                                                                                           │
cdone: high                                                                                                         │
Bye.                                                                                                                │
=========================================== [SUCCESS] Took 5.17 seconds =========================================== │
roberto:led_green/ $                                                                                     [15:06:50] │



-----
Roberto A. Dhios
email:rad...@gmail.com
msg:gtalk,skype:radhios
in:ar.linkedin.com/in/radhios
mobil:+54-911-4444-4088

On Mon, Sep 28, 2020 at 2:44 PM Juan Gonzalez Gomez <obijua...@gmail.com> wrote:
edu-fpga.jpeg

Obijuan

unread,
Sep 28, 2020, 2:20:23 PM9/28/20
to FPGAwars: explorando el lado libre
siiiiiiiii!!!! Funciona!!!!!!  :-)  ¡Gracias Roberto!

Ahora necesitamos el fichero .pcf completo de la placa. Adjunto aquí el de la Alhambra II de referencia:

 -----------------------------------------------------------------------------
#- Alhambra II constraint file (.pcf)
#- By Juan Gonzalez (Obijuan) and Jesus Arroyo Torrens
#- May - 2018
#- GPL license
#- Repo: https://github.com/FPGAwars/Alhambra-II-FPGA
# -----------------------------------------------------------------------------


# ------------ User Leds ------------------------------------------------------
set_io --warn-no-port LED0 45  # output
set_io --warn-no-port LED1 44  # output
set_io --warn-no-port LED2 43  # output
set_io --warn-no-port LED3 42  # output
set_io --warn-no-port LED4 41  # output
set_io --warn-no-port LED5 39  # output
set_io --warn-no-port LED6 38  # output
set_io --warn-no-port LED7 37  # output

# ------------ User push buttons ----------------------------------------------
set_io --warn-no-port SW1 34  # input
set_io --warn-no-port SW2 33  # input

# ------------ 5v Digital I/O -------------------------------------------------

# --- Top Female header
#   ---------------------------------------   -------------------------------
#  |  SCL SDA AR GND D13 D12 D11 D10 D9 D8 | | D7 D6  D5  D4  D3  D2  D1  D0 |
#   ---------------------------------------   -------------------------------
#
#                     Male, 3 pin connectors
#
#               Gnd   *   *   *   *   *  *      *  *  *   *   *   *   *   * Gnd
#               Vcc   *   *   *   *   *  *      *  *  *   *   *   *   *   * Vcc
#               S     *   *   *   *   *  *      *  *  *   *   *   *   *   * S
#
#
#                                                     Male, 3 pin connectors
#                                                     *   *   *   *   *   *
#                                                     *   *   *   *   *   *
#                                                     *   *   *   *   *   *
# --- Bottom female header
#               -------------------------------     -------------------------
#              |  x 5V  R 3v3 5vP  GND GND PWR |   | DD0 DD1 DD2 DD3 DD4 DD5 |
#               -------------------------------     -------------------------

# -- In top female header
set_io --warn-no-port D13 64
set_io --warn-no-port D12 63
set_io --warn-no-port D11 21
set_io --warn-no-port D10 22
set_io --warn-no-port D9  19
set_io --warn-no-port D8  20
set_io --warn-no-port D7   9
set_io --warn-no-port D6  10
set_io --warn-no-port D5   7
set_io --warn-no-port D4   8
set_io --warn-no-port D3   3
set_io --warn-no-port D2   4
set_io --warn-no-port D1   1
set_io --warn-no-port D0   2

# -- In Bottom female header
set_io --warn-no-port DD0 114
set_io --warn-no-port DD1 115
set_io --warn-no-port DD2 116
set_io --warn-no-port DD3 117
set_io --warn-no-port DD4 118
set_io --warn-no-port DD5 119

set_io --warn-no-port SDA 118
set_io --warn-no-port SCL 119

# -------------------------- I2C ADC ------------------------------------------
set_io --warn-no-port ADC_SCL 84  # output
set_io --warn-no-port ADC_SDA 83
set_io --warn-no-port ADC_INT 90  # input

# -------------------------- SYSTEM CLOCK -------------------------------------
set_io --warn-no-port CLK 49  # input

# -------------------------- FTDI ---------------------------------------------
# --- FTDI 0:
set_io --warn-no-port RES  66  # input
set_io --warn-no-port DONE 65  # output
set_io --warn-no-port SS   71  # output
set_io --warn-no-port MISO 68  # input
set_io --warn-no-port MOSI 67  # output
set_io --warn-no-port SCK  70  # output
#
# --- FTDI 1: (Serial port)
set_io --warn-no-port DCD 47  # output
set_io --warn-no-port DSR 48  # output
set_io --warn-no-port DTR 52  # input
set_io --warn-no-port CTS 56  # output
set_io --warn-no-port RTS 60  # input
set_io --warn-no-port TX  61  # output
set_io --warn-no-port RX  62  # input


Necesitamos uno similar, para la EDU-FPGA.  También lo podemos ir construyendo entre todos mirando los ejemplos. Yo de momento localicé el LED verde viendo el ejemplo blink de este repo:


El .pcf de momento es este:

# -----------------------------------------------------------------------------
#- EDU-CIAA-FPGA constraint file (.pcf)
#- Sep - 2020
#- GPL license
#- Repo: https://gitlab.com/educiaafpga
# -----------------------------------------------------------------------------

#------ User LEDs
#-- Green LED
set_io LEDG 4  #-- output


Saludos, Obijuan

Facundo Larosa

unread,
Sep 28, 2020, 2:27:14 PM9/28/20
to fpga-wars-explora...@googlegroups.com
Hola Obi Juan,

¡Qué bueno que ya estemos avanzando con este tema!


Allí se muestra la asignación de pines de la FPGA y cómo se conectan a los pines internos y a los nodos internos (interfaz serie, reloj, etc.)

En estos días podemos armar el .pcf completo!

saludos,

Facundo

Facundo Larosa

unread,
Sep 28, 2020, 2:32:01 PM9/28/20
to fpga-wars-explora...@googlegroups.com
Se me olvidó lo más importante! 

Gracias Roberto por las pruebas que estás realizando y por la mano para poder utilizar APIO y ICEstudio con la placa.

Roberto A. Dhios

unread,
Sep 28, 2020, 2:36:18 PM9/28/20
to fpga-wars-explora...@googlegroups.com
Al contrario es un placer!!!

Abz
Roberto

-----
Roberto A. Dhios
email:rad...@gmail.com
msg:gtalk,skype:radhios
in:ar.linkedin.com/in/radhios
mobil:+54-911-4444-4088

Has recibido este mensaje porque estás suscrito a un tema del grupo "FPGAwars: explorando el lado libre" de Grupos de Google.
Para cancelar la suscripción a este tema, visita https://groups.google.com/d/topic/fpga-wars-explorando-el-lado-libre/XApQ4JrzBRI/unsubscribe.
Para cancelar la suscripción a este grupo y a todos sus temas, envía un correo electrónico a fpga-wars-explorando-el...@googlegroups.com.
Para ver esta conversación en el sitio web, visita https://groups.google.com/d/msgid/fpga-wars-explorando-el-lado-libre/CAC6N%2BoJRVxP4LX_HgAr%2BrywkEzWy-CiAdBrswePJz%3DQ6gc7F-A%40mail.gmail.com.

Juan Gonzalez Gomez

unread,
Sep 28, 2020, 2:36:42 PM9/28/20
to FPGA-WARS: explorando el lado libre
¡Perfecto! Muchas gracias Facundo. Ahí está toda la información para armar el .pcf

En cuanto lo tengamos listo dar soporte a la placa en Icestudio será muy rápido

Saludos, Obijuan

vas...@gmail.com

unread,
Sep 28, 2020, 9:59:17 PM9/28/20
to FPGAwars: explorando el lado libre
Probado el ejemplo del led verde, funciona perfecto!

Cambie el numero de pin por cada uno de los otros leds y todos se prenden color verde, que raro...

Saludos.
                 Diego

Roberto A. Dhios

unread,
Sep 28, 2020, 10:33:01 PM9/28/20
to fpga-wars-explora...@googlegroups.com
Son todos verdes.... ;-)

Salu2

-----
Roberto A. Dhios
email:rad...@gmail.com
msg:gtalk,skype:radhios
in:ar.linkedin.com/in/radhios
mobil:+54-911-4444-4088

Has recibido este mensaje porque estás suscrito a un tema del grupo "FPGAwars: explorando el lado libre" de Grupos de Google.
Para cancelar la suscripción a este tema, visita https://groups.google.com/d/topic/fpga-wars-explorando-el-lado-libre/XApQ4JrzBRI/unsubscribe.
Para cancelar la suscripción a este grupo y a todos sus temas, envía un correo electrónico a fpga-wars-explorando-el...@googlegroups.com.
Para ver esta conversación en el sitio web, visita https://groups.google.com/d/msgid/fpga-wars-explorando-el-lado-libre/b62ff191-f2f6-437f-b28e-3b26c6010d52n%40googlegroups.com.

Obijuan

unread,
Sep 29, 2020, 2:21:53 AM9/29/20
to FPGAwars: explorando el lado libre

Ya tengo una primera versión del .pcf. Los LEDs los he llamado LED3 - LED2 - LED1 - LED0 (ordenados por pesos), que se corresponden con D3, D4, D5 y D6 en el esquemático, pero los podemos llamar como queráis

Hay que probar este .pcf para encontrar erratas y errores

Ahora subo el ejemplo del blinky al repo para que lo probéis

# -----------------------------------------------------------------------------
#- EDU-CIAA-FPGA constraint file (.pcf)
#- Sep - 2020
#- GPL license
#- Repo: https://gitlab.com/educiaafpga

# -----------------------------------------------------------------------------

#------ User LEDs
set_io --warn-no-port LED3 4  #-- output
set_io --warn-no-port LED2 3  #-- output
set_io --warn-no-port LED1 2  #-- output
set_io --warn-no-port LED0 1  #-- output


# ------------ User push buttons ----------------------------------------------
set_io --warn-no-port BTN1 31  # input
set_io --warn-no-port BTN2 32  # input
set_io --warn-no-port BTN3 33  # input
set_io --warn-no-port BTN4 34  # input

# ------------- Digital I/O ---------------------------------

# ---- B1 Header
# -- Left column
set_io --warn-no-port B1_21 107
set_io --warn-no-port B1_19 105
set_io --warn-no-port B1_17 99
set_io --warn-no-port B1_15 97
set_io --warn-no-port B1_13 95
set_io --warn-no-port B1_11 84
set_io --warn-no-port B1_9  89
set_io --warn-no-port B1_7  80

# -- Right column
set_io --warn-no-port B1_20 106
set_io --warn-no-port B1_18 104
set_io --warn-no-port B1_16 98
set_io --warn-no-port B1_14 96
set_io --warn-no-port B1_12 85
set_io --warn-no-port B1_10 83
set_io --warn-no-port B1_8  81
set_io --warn-no-port B1_6  79

# ---- B0 Header
# -- Left column
set_io --warn-no-port B0_1 122
set_io --warn-no-port B0_3 125
set_io --warn-no-port B0_5 129
set_io --warn-no-port B0_7 134
set_io --warn-no-port B0_9 136
set_io --warn-no-port B0_11 138
set_io --warn-no-port B0_13 141
set_io --warn-no-port B0_14 142

# -- Right column
set_io --warn-no-port B0_2 124
set_io --warn-no-port B0_4 128
set_io --warn-no-port B0_6 130
set_io --warn-no-port B0_8 135
set_io --warn-no-port B0_10 137
set_io --warn-no-port B0_12 139
set_io --warn-no-port B0_15 143
set_io --warn-no-port B0_16 144

# ---- B3 Header
# -- Left connector
set_io --warn-no-port B3_5 11
set_io --warn-no-port B3_6 12
set_io --warn-no-port B3_7 15
set_io --warn-no-port B3_8 16
set_io --warn-no-port B3_1 7
set_io --warn-no-port B3_2 8
set_io --warn-no-port B3_3 9
set_io --warn-no-port B3_4 10

# -- Right connector
set_io --warn-no-port B3_13 21
set_io --warn-no-port B3_14 22
set_io --warn-no-port B3_15 23
set_io --warn-no-port B3_16 24
set_io --warn-no-port B3_9  17
set_io --warn-no-port B3_10 18
set_io --warn-no-port B3_11 19
set_io --warn-no-port B3_12 20


# -------------------------- SYSTEM CLOCK -------------------------------------
set_io --warn-no-port CLK 94  # input

# ------------ Reset ---------------
set_io --warn-no-port RST 37  # input

# -------------------------- FTDI ---------------------------------------------

# --- FTDI 1: (Serial port)
set_io --warn-no-port RX  55  # input
set_io --warn-no-port TX  56  # output

set_io --warn-no-port RTS 60  # input
set_io --warn-no-port CTS 61  # output
set_io --warn-no-port DTR 62  # input
set_io --warn-no-port DSR 63  # output
set_io --warn-no-port DCD 65  # output


Saludos,  Obijuan

Obijuan

unread,
Sep 29, 2020, 2:54:21 AM9/29/20
to FPGAwars: explorando el lado libre

Hola!  Ya he subido el ejemplo del blinky para que lo probéis

Una vez que ya tenéis apio (develpment) instalado, haced lo siguiente:

* Actualizar los ejemplos:

$ apio install examples
Installing examples package:
Download apio-examples-0.0.14.zip
Downloading [####################################] 100%
Unpacking [####################################] 100%
Package 'examples' has been successfully installed!

* Bajad el ejempo del blinky y cargarlo en la EDU-FPGA:

$ apio examples -d EDU-CIAA-FPGA/Blinky
$ cd EDU-CIAA-FPGA/Blinky/
$ apio upload

El LED0 de la EDU-FPGA (D6) debería parpadear

Saludos, Obijuan

Obijuan

unread,
Sep 29, 2020, 5:48:15 AM9/29/20
to FPGAwars: explorando el lado libre
Hola,

Ya está añadida la placa EDU-CIAA-FPGA en icestudio (development [1]). En este commit [2] podéis ver los ficheros cambiados

Yo sólo he probado la síntesis del circuito "hola mundo" (encender un LED), pero necesito que los que tengáis la placa probéis a cargar el diseño y probar que funciona. Os detallo los pasos para probarlo:

Asumo un perfil de desarrollador. En cuanto esté funcionando lo integraremos en la próxima release para que sea fácil para el resto

1) Clonar el repo de icestudio[1] (la rama develop está por defecto)
2) Para arrancar icestudio hay que usar la versión 10.17.0 de npm
3) Entrar en el directorio del repo
4) Instalar las dependencias:

  $ npm install

5) Arrancar icestudio

 $ npm start

6) Asumo que ya habéis usado icestudio antes, y por tanto que lo tenéis funcionando (drivers, configuración y todo eso). Id al menú Herramientas/Toolchains y pinchad en Actualizar. Esto instalará la última versión de apio (apio 0.5.5) que es la que tiene el soporte para la Edu-ciaa-FPGA. Una vez instalado, si volvéis a abrir anterior aseguraos que en la parte inferior ponga "Apio 0.5.5" (Ver fichero adjunto: edu-ciaa-fpga-test-1-2.png)

7) Id al menú Seleccionar/Placa y ahí os debería aparecer la EDU-CIAA-FPGA. Selecionadla (Ver fichero adjunto: edu-ciaa-fpga-test-1-3.png)

8) Ahora aparecerá el nombre EDU-CIAA-FPGA en la parte inferior derecha de icestudio (Ver fichero adjunto: edu-ciaa-fpga-test-1-4.png)

9) Abrir el circuito de ejemplo: edu-ciaa-fpga-test-01.ice (Archivo/Abrir) desde icestudio. Es el "hola mundo" que enciende el LED 0 (D6) de la placa (ver fichero adjunto: edu-ciaa-fpga-test-1-5.png)

10) Y ahora viene la prueba de fuego. Dadle a Herramientas/Cargar. Esto hará la síntesis y la carga del bitstream en la placa. El LED 0 se debería encender y el resto apagados

A mí me funciona todo bien, pero en vez de cargar hago sólo la síntesis. El bitstream se genera ok

Espero ansioso las pruebas 🙂

Muchas Gracias

Saludos, Obijuan

edu-FPGA-test-01.ice
edu-ciaa-fpga-test-1-5.png
edu-ciaa-fpga-test-1-4.png
edu-ciaa-fpga-test-1-3.png
edu-ciaa-fpga-test-1-2.png

Obijuan

unread,
Sep 29, 2020, 6:22:57 AM9/29/20
to FPGAwars: explorando el lado libre
En este tuit he puesto un vídeo de la síntesis del hola mundo:


Saludos, Obijuan

Facundo Larosa

unread,
Sep 29, 2020, 7:48:30 AM9/29/20
to fpga-wars-explora...@googlegroups.com
Hola a todos,

¿Cómo están?

Funciona perfectamente Obijuan!!! ☺👍 Adjunto un video donde se puede ver como enciende el led!

saludos,

Facundo

Roberto A. Dhios

unread,
Sep 29, 2020, 8:00:41 AM9/29/20
to fpga-wars-explora...@googlegroups.com
Buenisimo!!!!

Saludos
Roberto


-----
Roberto A. Dhios
email:rad...@gmail.com
msg:gtalk,skype:radhios
in:ar.linkedin.com/in/radhios
mobil:+54-911-4444-4088

Roberto A. Dhios

unread,
Sep 29, 2020, 9:01:31 AM9/29/20
to fpga-wars-explora...@googlegroups.com
A mi me da "Unknown board"

:-(
roberto:icestudio/ (develop) $ npm -v
6.11.3
roberto:icestudio/ (develop) $ node -v
v10.17.0



-----
Roberto A. Dhios
email:rad...@gmail.com
msg:gtalk,skype:radhios
in:ar.linkedin.com/in/radhios
mobil:+54-911-4444-4088

Screenshot from 2020-09-29 09-58-30.png

Juan Gonzalez Gomez

unread,
Sep 29, 2020, 9:10:23 AM9/29/20
to FPGA-WARS: explorando el lado libre
Hola Roberto,

Asegúrate de que has actualizado la toolchain a la 0.5.5
Después de probar la carga dale a la opción ver/Salida de comando, para ver más detalles

Saludos, Obijuan

Juan Gonzalez Gomez

unread,
Sep 29, 2020, 9:12:16 AM9/29/20
to FPGA-WARS: explorando el lado libre
¡Estupendo! ¡Gracias Facundo! 😃 ¡Qué buenas noticias!

Luego os paso más programas de ejemplo para seguir probando

Saludos, Obijuan

Roberto A. Dhios

unread,
Sep 29, 2020, 9:15:19 AM9/29/20
to fpga-wars-explora...@googlegroups.com
Ahora si!!! era la versión del toolchain!! Funciona!!!!

Saludos
Roberto


-----
Roberto A. Dhios
email:rad...@gmail.com
msg:gtalk,skype:radhios
in:ar.linkedin.com/in/radhios
mobil:+54-911-4444-4088

Juan Gonzalez Gomez

unread,
Sep 29, 2020, 9:23:56 AM9/29/20
to FPGA-WARS: explorando el lado libre
¡Estupendo! Muchas gracias por las pruebas!

Os mandaré más ejemplo para probar más cosas, pero esto pinta muy bien 😃

Cuando lo probemos y saquemos la release, será mucho más fácil para que el resto de la gente lo pruebe

Saludos, Obijuan

Obijuan

unread,
Sep 29, 2020, 9:36:28 AM9/29/20
to FPGAwars: explorando el lado libre
Aquí os mando un ejemplo de un contador de 4 bits, que cuenta con un periodo de 200ms. La cuenta sale en binario en los LEDs

Comprobar que funciona, y que sale un número en binario con el bit de menor peso en el LED de la derecha, y el bit de mayor peso en la izquierda  (para detectar errores en el .pcf)

Con esto validamos la entrada de reloj y los LEDs

Al darle a la opción Herramientas/Cargar se hace automáticamente la síntesis y luego la carga, de forma que no hace falta dar a las dos opciones. O mejor todavía, si le dais al ctrl-U se hace la síntesis más la carga. Todo muy rápido (yo es lo que uso normalmente)

Saludos, Obijuan
edu-ciaa-fpga-test-2-1.png
edu-FPGA-test-02.ice

Ramiro Adrian Ghignone

unread,
Sep 29, 2020, 10:13:21 AM9/29/20
to FPGAwars: explorando el lado libre
Buen día a todos !
Vengo siguiendo el hilo silenciosamente...

Obijuan:
Ya estuve probando ambos ejemplos en el iCEstudio con la EDU-CIAA-FPGA y también armando algunos propios.
Todo funciona perfecto !!

Muchas gracias por el esfuerzo y la rapidez para agregar la placa al entorno.

Saludos,
Ramiro A. Ghignone

Obijuan

unread,
Sep 29, 2020, 10:17:05 AM9/29/20
to FPGAwars: explorando el lado libre
Muchísimas gracias Ramiro!  :-)

Saludos, Obijuan

Obijuan

unread,
Sep 29, 2020, 10:57:54 AM9/29/20
to FPGAwars: explorando el lado libre
Prueba 3: Transmisión serie

Vamos a probar si van bien las comunicaciones serie, con este ejemplo: edu-FPGA-test-03.ice  
El pantallazo del circuito lo podéis ver en edu-ciaa-fpga-test-3-1.png

Este ejemplo imprime en la consola serie el mensaje "Hello world!" cada segundo. La velocidad de transmisión son 115200 baudios. Se puede utilizar cualquier terminal serie para verlo. Os paso un pantallazo del funcionamiento en el terminal serie de icestudio (edu-ciaa-fpga-test-3-2.png)

En Linux el dispositivo serie donde se suele mapear el puerto serie de los FTDI duales es el /dev/ttyUSB1

Saludos, Obijuan
edu-ciaa-fpga-test-3-2.png
edu-ciaa-fpga-test-3-1.png
edu-FPGA-test-03.ice

Obijuan

unread,
Sep 29, 2020, 11:17:00 AM9/29/20
to FPGAwars: explorando el lado libre
Prueba 4: Recepción serie y panel web

Esta es la última prueba que me gustaría que hicieran. Es para probar el receptor serie, los pulsadores y el panel web

* Cargar el circuito en la placa: edu-FPGA-test-04.ice (Pantallazo del circuito: edu-ciaa-fpga-test-4-1.png)

* Arrancad un navegador que soporte web serial: por ejemplo Chrome / Chromium (probad primero con Chrome si podéis)

  Esa URL ejecuta un programa javascript en el navegador donde aparece un panel web con interruptres, pulsadores y LEDs (Pantallazo: edu-ciaa-fpga-test-4-2.png)
  El web werial es experimental de momento, por lo que hay que activarlo en las opciones (si no lo habíais hecho ya). El el cuaderno ténico 8 se indica cómo hacerlo [1]

* Abrid el puerto serie desde el panel. Si apretáis los pulsadores BNT1 y BTN4, se deberían encender los LEDs virtuales "a" y "h". Si accionáis el interruptor "q" se debería encender 
el LED3, y al apretar el pulsador "Q" el LED0

Muchas gracias 🙂

edu-ciaa-fpga-test-4-1.png
edu-ciaa-fpga-test-4-2.png
edu-FPGA-test-04.ice

radhios

unread,
Sep 29, 2020, 11:21:36 AM9/29/20
to FPGAwars: explorando el lado libre
No me funciona!!! Como podría ver que sucede?

Saludos, Roberto

Ramiro Adrian Ghignone

unread,
Sep 29, 2020, 11:24:27 AM9/29/20
to FPGAwars: explorando el lado libre
Funciona perfecto la transmisión serie, adjunto captura de los mensajes recibidos.

Saludos !
---
Ramiro
Captura_iCEstorm_serie.png

Juan Gonzalez Gomez

unread,
Sep 29, 2020, 11:29:01 AM9/29/20
to FPGA-WARS: explorando el lado libre
¡Estupendo! ¡Muchas gracias Ramiro! Esto valida la parte del .pcf de transmisión serie, ¡genial! 😃

Saludos, Obijuan

Juan Gonzalez Gomez

unread,
Sep 29, 2020, 11:31:09 AM9/29/20
to FPGA-WARS: explorando el lado libre
Hola Roberto,

Vamos a ir descartando cosas. El puerto serie (/dev/ttyUSB1) te aparece y lo puedes abrir desde un terminal serie? Es para descartar temas de permisos

Saludos, Obijuan

Ramiro Adrian Ghignone

unread,
Sep 29, 2020, 11:34:21 AM9/29/20
to FPGAwars: explorando el lado libre
En el ejemplo 4 , al presionar los botones de la aplicación web se encienden los LED de la placa correctamente.
Sin embargo, presionar los botones de la placa no enciende los LED de la app web.
Igualmente revisé por UART y llegan los caracteres "a" y "h" indicando que se presionaron los pulsadores de la placa, así que es más probable que sea un problema del lado de la interfaz (que está excelente, por cierto).

Saludos,
Ramiro

radhios

unread,
Sep 29, 2020, 11:47:09 AM9/29/20
to FPGAwars: explorando el lado libre
Si estoy en el group dialout y tambien probe ejecutando gtkterm son sudo...

Obijuan

unread,
Sep 29, 2020, 12:00:02 PM9/29/20
to FPGAwars: explorando el lado libre
Gracias por las pruebas, Ramiro. Te respondo entre líneas

El martes, 29 de septiembre de 2020 a las 17:34:21 UTC+2, noquierocue...@gmail.com escribió:
En el ejemplo 4 , al presionar los botones de la aplicación web se encienden los LED de la placa correctamente.

Bien! Esto significa que la recepción serie es correcta: eso también queda validado en el .pcf
 
Sin embargo, presionar los botones de la placa no enciende los LED de la app web.
Igualmente revisé por UART y llegan los caracteres "a" y "h" indicando que se presionaron los pulsadores de la placa, así que es más probable que sea un problema del lado de la interfaz (que está excelente, por cierto).

Asegúrate que tienes cerrado el gtkterm o cualquier otro terminal serie. Esto me ha pasado muchas veces. Me dejo el terminal abierto, y luego lanzo el panel web. La transmisión del panel a la placa va muy bien (sólo usa el puerto serie el panel), pero la recepción no funciona porque los caracteres recibidos de la FPGA se reparten entre el panel y el terminal

Cierra todos los terminales. Y abre sólo el panel. Si te sigue sin funcionar, probamos más cosas

Saludos, Obijuan

Ramiro Adrian Ghignone

unread,
Sep 29, 2020, 12:06:49 PM9/29/20
to FPGAwars: explorando el lado libre
Obijuan,
Gracias por el comentario !

Sí, tenía abierto el GTKterm.
Lo cerré, reconecté y anduvo todo más que bien :-)

Saludos !
Ramiro

Obijuan

unread,
Sep 29, 2020, 12:07:38 PM9/29/20
to FPGAwars: explorando el lado libre
Vamos a probar más cosas

Desconecta la placa del USB y vuelve a conectarla

Ejecuta dmesg en la consola linux para ver qué dispositivo te ha creado:

$ dmesg

Muestrame las últimas 5 líneas que aparece

En mi caso, con la Alhmabra II (que tiene el mismo chip FTDI que la EDU-FPGA) sale esto:

[39603.577913] usb 1-5: Detected FT2232H
[39603.578115] usb 1-5: FTDI USB Serial Device converter now attached to ttyUSB0
[39603.580854] ftdi_sio 1-5:1.1: FTDI USB Serial Device converter detected
[39603.580877] usb 1-5: Detected FT2232H
[39603.581027] usb 1-5: FTDI USB Serial Device converter now attached to ttyUSB1

Pero hay veces que el dispositivo que me crea es el ttyUSB2

Saudos, Obijuan

Obijuan

unread,
Sep 29, 2020, 12:12:20 PM9/29/20
to FPGAwars: explorando el lado libre
¡¡¡Genial!!! Muchas gracias Ramiro!! 🙂 A mí me pasa eso constantemente.. siempre me dejo uno abierto y me llevo unos sustos...

La verdad es que los paneles web son muy adictivos, y son geniales para la docencia y para hacer pruebas

Por mi parte doy por finalizado la integración de la EDU-FPGA en icestudio. Todavía pueden quedar bugs, pero serán menores

Grande Ramiro!, muchas gracias por todo

Saludos, Obijuan

vas...@gmail.com

unread,
Sep 29, 2020, 12:32:51 PM9/29/20
to FPGAwars: explorando el lado libre
Hola a todos!

Wow!, que rápido avanzó toda la integración!, no entre por un par de hora y woala!

Gracias a todos!

Saludos. Diego

Roberto A. Dhios

unread,
Sep 29, 2020, 12:34:59 PM9/29/20
to fpga-wars-explora...@googlegroups.com
Aqui va...

[18030.234234] usb 2-3: new high-speed USB device number 8 using xhci_hcd
[18030.386738] usb 2-3: New USB device found, idVendor=0403, idProduct=6010, bcdDevice= 7.00
[18030.386744] usb 2-3: New USB device strings: Mfr=1, Product=2, SerialNumber=0
[18030.386747] usb 2-3: Product: Dual RS232-HS
[18030.386750] usb 2-3: Manufacturer: FTDI
[18030.390453] ftdi_sio 2-3:1.0: FTDI USB Serial Device converter detected
[18030.390567] usb 2-3: Detected FT2232H
[18030.390838] usb 2-3: FTDI USB Serial Device converter now attached to ttyUSB0
[18030.391214] ftdi_sio 2-3:1.1: FTDI USB Serial Device converter detected
[18030.391301] usb 2-3: Detected FT2232H
[18030.391514] usb 2-3: FTDI USB Serial Device converter now attached to ttyUSB1


-----
Roberto A. Dhios
email:rad...@gmail.com
msg:gtalk,skype:radhios
in:ar.linkedin.com/in/radhios
mobil:+54-911-4444-4088
--
Has recibido este mensaje porque estás suscrito al grupo "FPGAwars: explorando el lado libre" de Grupos de Google.
Para cancelar la suscripción a este grupo y dejar de recibir sus mensajes, envía un correo electrónico a fpga-wars-explorando-el...@googlegroups.com.

Ramiro Adrian Ghignone

unread,
Sep 29, 2020, 12:44:30 PM9/29/20
to FPGAwars: explorando el lado libre
Obijuan,
Al contrario !
Muchísimas gracias de parte de todo el equipo de trabajo de la EDU-FPGA por la labor de integración en el iCEstudio.
Estamos ansiosos por poder compartirlo con la comunidad en Argentina cuando salga el próximo release estable del software.
Seguramente estaremos agregando documentación y ejemplos de uso a la Wiki del proyecto una vez que eso pase.
(Y sí, lo de los paneles web es muy interesante pero lamentablemente aún no he tenido tiempo de indagar en el tema)

Saludos !
---
Ramiro

Roberto A. Dhios

unread,
Sep 29, 2020, 12:45:47 PM9/29/20
to fpga-wars-explora...@googlegroups.com
Lo que veo es que me dejo de funcionar antes del ejemplo del serial... Me saltie el ejemplo del contador binario, y ahora que no funciona el serial volví hacia atrás para revisar y veo que no funciona el contador!!! Si hace todo el ciclo, inclusive la carga pero los leds no cuentan!!!
Voy a revisar....

Saludos, Roberto

-----
Roberto A. Dhios
email:rad...@gmail.com
msg:gtalk,skype:radhios
in:ar.linkedin.com/in/radhios
mobil:+54-911-4444-4088

On Tue, Sep 29, 2020 at 1:07 PM Obijuan <obijua...@gmail.com> wrote:
--
Has recibido este mensaje porque estás suscrito al grupo "FPGAwars: explorando el lado libre" de Grupos de Google.
Para cancelar la suscripción a este grupo y dejar de recibir sus mensajes, envía un correo electrónico a fpga-wars-explorando-el...@googlegroups.com.

radhios

unread,
Sep 29, 2020, 12:48:37 PM9/29/20
to FPGAwars: explorando el lado libre
Veo que solo me funciona el ejemplo 1, el de encender un led :-(

Saludos, Roberto

Juan Gonzalez Gomez

unread,
Sep 29, 2020, 12:56:17 PM9/29/20
to FPGA-WARS: explorando el lado libre
OK, volvemos desde el principio.
Creo intuir lo que te puede pasar, pero quiero que hagas estas pruebas primero:

Vamos a comprobar primero que todos los leds te van bien:

Prueba el ejemplo que te mando: simplemente enciende todos los leds

Saludos, Obijuan

--
Has recibido este mensaje porque estás suscrito al grupo "FPGAwars: explorando el lado libre" de Grupos de Google.
Para cancelar la suscripción a este grupo y dejar de recibir sus mensajes, envía un correo electrónico a fpga-wars-explorando-el...@googlegroups.com.
edu-FPGA-test-05-all-leds-on.ice

Roberto A. Dhios

unread,
Sep 29, 2020, 12:59:02 PM9/29/20
to fpga-wars-explora...@googlegroups.com
Solo enciende un led!!!

-----
Roberto A. Dhios
email:rad...@gmail.com
msg:gtalk,skype:radhios
in:ar.linkedin.com/in/radhios
mobil:+54-911-4444-4088

Juan Gonzalez Gomez

unread,
Sep 29, 2020, 1:06:17 PM9/29/20
to FPGA-WARS: explorando el lado libre
ups, eso sí que es raro

Vuelve al ejemplo 1: edu-FPGA-test-01.ice

Cambia el LED0 a LED1 y cárgalo en la placa. A ver qué ocurre

Saludos, Obijuan

Juan Gonzalez Gomez

unread,
Sep 29, 2020, 1:21:33 PM9/29/20
to FPGA-WARS: explorando el lado libre
También necesito que mires cómo tienes esta opción: Editar/Preferencias/Reglas de la placa

Asegúrate que las tienes activada (y que puedes ver el símbolo del check en la derecha, como en la imagen que te adjunto). Si no lo tenías activado entonces la señal del reloj de la placa no se conectaba con los circuitos secuenciales: contador, transmisor serie etc... y eso explicaría por qué no te funcionaba

Saludos, Obijuan
test-2.png

Roberto A. Dhios

unread,
Sep 29, 2020, 1:36:51 PM9/29/20
to fpga-wars-explora...@googlegroups.com
Si cambio de led en diagrama y recargo puedo encender todos los led uno a uno.

Roberto

-----
Roberto A. Dhios
email:rad...@gmail.com
msg:gtalk,skype:radhios
in:ar.linkedin.com/in/radhios
mobil:+54-911-4444-4088

Roberto A. Dhios

unread,
Sep 29, 2020, 1:38:29 PM9/29/20
to fpga-wars-explora...@googlegroups.com
Ahora si, era el board preferences!!! Gracias Juan!!!


Saludos, Roberto

-----
Roberto A. Dhios
email:rad...@gmail.com
msg:gtalk,skype:radhios
in:ar.linkedin.com/in/radhios
mobil:+54-911-4444-4088

Obijuan

unread,
Sep 29, 2020, 1:40:03 PM9/29/20
to FPGAwars: explorando el lado libre
Bien. Ya sabemos que los LEDs funcionan bien individualmente (no hay ninguno roto)

Juan Gonzalez Gomez

unread,
Sep 29, 2020, 1:47:28 PM9/29/20
to FPGA-WARS: explorando el lado libre
¡Genial! 😃

Muchas gracias por las pruebas

Saludos, Obijuan


Facundo Larosa

unread,
Sep 29, 2020, 2:08:03 PM9/29/20
to fpga-wars-explora...@googlegroups.com
Hola Obijuan!

Qué rápido que vamos! Muchas gracias!

¿Qué deberíamos hacer para que la placa figure en el release estable de Icestudio? ¿Más pruebas? ¿En que podemos ayudar?

saludos,

Facundo

Roberto A. Dhios

unread,
Sep 29, 2020, 3:41:16 PM9/29/20
to Albert Garcia, fpga-wars-explora...@googlegroups.com
Aquí va el SVG.

Saludos, Roberto


-----
Roberto A. Dhios
email:rad...@gmail.com
msg:gtalk,skype:radhios
in:ar.linkedin.com/in/radhios
mobil:+54-911-4444-4088


On Tue, Sep 29, 2020 at 4:28 PM Albert Garcia <alber...@gmail.com> wrote:
Hola

Ramiro, las builds nightly ya incluyen el soporte para EDU-FPGA. Puede que queráis probarlas también.

Facundo, sería útil añadir un pinout.svg. Ver, por ejemplo, el contenido de la placa Kéfir.

Saludos

Pinout EDU FPGA.svg

Roberto A. Dhios

unread,
Sep 29, 2020, 4:12:43 PM9/29/20
to Albert Garcia, fpga-wars-explora...@googlegroups.com
Genial! Gracias!!

Saludos, Roberto


-----
Roberto A. Dhios
email:rad...@gmail.com
msg:gtalk,skype:radhios
in:ar.linkedin.com/in/radhios
mobil:+54-911-4444-4088


On Tue, Sep 29, 2020 at 5:10 PM Albert Garcia <alber...@gmail.com> wrote:
Gracias Roberto. ¡Acabo de probarlo en nightly!

icestudio_pinout_svg.gif

ClassRoom PROFE BLANCO

unread,
Sep 29, 2020, 10:07:52 PM9/29/20
to fpga-wars-explora...@googlegroups.com
Que bueno gente que puedan ser activado y reconocido, yo diría una notable color de sus fabricantes al preguntas sobre compatibilidad, por estas latitudes decimos que es una respuesta típica de compadrito...
Saludos desde argentina la idea también es comprarla dado que se complica la importación.... pero de ahí a desconocer el trabajo supremo del maestro Obijuan por difundir las FPGA de código abierto solo me da vergüenza ajena.
Screenshot_20200929-225831_Mercado Libre.jpg

Obijuan

unread,
Sep 30, 2020, 2:14:52 AM9/30/20
to FPGAwars: explorando el lado libre
Hola Facundo,

Por mi parte ya está validado, así que saldrá en la próxima release

Primero hay que sacar la release de apio y luego la de icestudio. Charli tiene que integrar sus ramas, que está con icerok y sacamos la release

Os pediremos ayuda para hacer más pruebas, sobre todo en el resto de sistemas operativos

Esto lo hacemos en nuestro tiempo libre, por lo que no os podemos dar una fecha todavía de release. Intentaremos que sea lo antes posible

Muchas gracias por la ayuda

Saludos, Obijuan


Hola Obijuan!

Qué rápido que vamos! Muchas gracias!

¿Qué deberíamos hacer para que la placa figure en el release estable de Icestudio? ¿Más pruebas? ¿En que podemos ayudar?

saludos,

Facundo

vas...@gmail.com

unread,
Sep 30, 2020, 7:21:36 AM9/30/20
to FPGAwars: explorando el lado libre
Hola a todos!

Felicitaciones a Ramiro y equipo por la placa! excelente y un orgullo! :)

A Obijuan y todo el grupo por tirar para adelante con IceStudio, ya está integrada una nueva placa!!!

Probados y funcionando todos los ejemplos!.

Saludos. Diego

Obijuan

unread,
Sep 30, 2020, 9:01:43 AM9/30/20
to FPGAwars: explorando el lado libre
Estupendo Diego! Muchas gracias por las pruebas :-)

Saludos, Obijuan

Facundo Larosa

unread,
Sep 30, 2020, 9:04:13 AM9/30/20
to fpga-wars-explora...@googlegroups.com
Excelente Obijuan! Quedamos a disposición para colaborar en lo que sea necesario!

Gracias a ti, saludos!

Facundo

--
Has recibido este mensaje porque estás suscrito al grupo "FPGAwars: explorando el lado libre" de Grupos de Google.
Para cancelar la suscripción a este grupo y dejar de recibir sus mensajes, envía un correo electrónico a fpga-wars-explorando-el...@googlegroups.com.

Ramiro Adrian Ghignone

unread,
Sep 30, 2020, 6:15:02 PM9/30/20
to FPGAwars: explorando el lado libre
¡ Hola nuevamente !
Estuve probando los Nightly Releases que compartió Albert en este link y tuve algunos inconvenientes, tanto en Linux como en Windows.
Paso a relatar la sesión de pruebas...

------

En Linux (Mint 18, 64 bits), descomprimí el ZIP, ejecuté el iCEstudio y abrí el ejemplo del contador (que ya había probado ayer con éxito con el repo clonado desde Github). Pude configurar la EDU-CIAA-FPGA como target y ver el SVG lo más bien, pero al momento de querer verificar/sintetizar/cargar el diseño obtuve el error de "Placa Desconocida". Acto seguido actualicé el toolchain y los drivers, pero el error persistió.

Para asegurarme, cerré el iCEstudio y lo abrí desde el repo clonado de Git (usando npm start). Abrí nuevamente el mismo ejemplo y constaté que el error de "Placa Desconocida" seguía ahí. Pero después de actualizar el Toolchain, pude cargar el diseño en la placa con éxito.

Cerré esa instancia del iCEstudio y volví a abrirlo desde el ZIP del Nightly Release. Y el error de "Placa Desconocida" desapareció.
Así que pude cargar el ejemplo en la placa usando el Nightly Release.

-----

Más tarde, me bajé el Nightly Release para Windows (10, 64 bits), descomprimí el ZIP y ejecuté el iCEstudio.
Usé el mismo ejemplo del contador y nuevamente tuve el error de "Placa Desconocida".
En este caso, por más que actualicé el Toolchain y los Drivers, no lo pude limpiar.

----- 

Adjunto captura del error en Windows (en Linux era igual).
¿Estoy omitiendo algún paso o requerimiento para usar el Nightly?
¿Hay alguna diferencia en la forma en que el iCEstudio actualiza el Toolchain entre el Nightly y el repo clonado desde Github?

Si alguien más lo prueba y quiere compartir sus resultados será agradecido.
Quedo a disposición y aguardo sus comentarios.

Saludos !
Ramiro
Error.png

Pablo Ariel Vazquez

unread,
Oct 1, 2020, 9:46:37 AM10/1/20
to FPGAwars: explorando el lado libre
Buenos dias a todos,

Ramiro, queria consultarte cómo utilizas el repositorio de que comparte Albert en linux? veo que es un .ZIP

Clonando el Github, pude hacer las pruebas en linux (con npm) y funcionan todas.

A disposición para probar lo que necesiten.



Saludos,

Pablo

Ramiro Adrian Ghignone

unread,
Oct 1, 2020, 11:52:07 AM10/1/20
to FPGAwars: explorando el lado libre

Pablo,
Buenos días

Una vez que extraes el ZIP, en la carpeta vas a encontrar un ejecutable llamado "icestudio".
En mi caso, yo abrí un terminal dentro de la carpeta extraída y puse:

chmod +x icestudio (para hacerlo ejecutable, solo por las dudas, tal vez no hace falta)
./icestudio (con este comando te lanza el programa)

Y después es igual que usando npm, con algunas variaciones en la interfaz solamente.

Espero que la explicación aporte. Saludos !
---
Ramiro

Pablo Ariel Vazquez

unread,
Oct 1, 2020, 11:17:09 PM10/1/20
to FPGAwars: explorando el lado libre
Gracias Ramiro por el detalle, sirvió ;)

Saludos!

Pablo

Ramiro Adrian Ghignone

unread,
Oct 6, 2020, 6:08:25 PM10/6/20
to FPGAwars: explorando el lado libre
Pablo,
Genial que te haya servido la respuesta !
¿Por casualidad probaste de verificar o sintetizar alguno de los ejemplos usando el Nightly?

Saludos,
Ramiro

Pablo Ariel Vazquez

unread,
Oct 6, 2020, 10:26:29 PM10/6/20
to FPGAwars: explorando el lado libre
Hola Ramiro, probe todos los ejemplos propuestos por Juan, todos me funcionaron. Inclusive los de la consola web! que me anduvo con chrome, no con chromium.
Adjunto imagen de la ejecución del serial.

Me gustaría avanzar con un mutifunction shield de arduino para hacer funcionar un reloj, para meterme en tema. Por ahora, mientras puedo meter mano, estoy siguiendo los tutoriales de Juan para ponerme a tono y encararlo. Creo que sus notas técnicas tiene info que me puede servir para mi primer proyecto, pero en su caso usa un display con microcontroller.

Saludos y a disposición para lo que necesites!

Pablo V.

Screenshot from 2020-10-01 22-21-18.png

Ramiro Adrian Ghignone

unread,
Oct 9, 2020, 7:08:56 PM10/9/20
to FPGAwars: explorando el lado libre
Hola Pablo,
En primer lugar, genial que hayas podido probar todos los ejemplos y te hayan funcionado ! 

No obstante, yo me refería a probarlos usando el Nightly Release (ZIP) que mencionábamos anteriormente (por lo que veo en el screenshot que pasaste, probaste los ejemplos usando npm sobre la rama develop del Git, ¿verdad?). Más que nada para saber si llegabas al mismo error que yo.

Por otro lado, algunas personas del equipo que también tienen la placa probaron el Nightly Release y, si bien pueden configurar la EDU-FPGA como target, todos tienen el mismo error de "Placa Desconocida"  (en algún caso también aparecieron errores relativos a fujprog al actualizar el toolchain). Tal vez Juan o Albert se den una idea de cuál puede estar siendo el motivo por el cual no se pueda verificar/sintetizar/cargar.

Quedo a disposición para seguir probando cosas.

Saludos,
Ramiro

charli va

unread,
Oct 10, 2020, 1:54:47 AM10/10/20
to fpga-wars-explora...@googlegroups.com
Hola a todos! Lo primero agradeceros todo el trabajo que estáis haciendo.

Solo informaros que obijuan y yo estamos terminando de integrar 100% tanto la fomu como las ecp5 que necesitan el fujprog.

Ya está disponible en la rama develop de git y tenéis que actualizar la toolchain desde la interfaz de icestudio.

Para los que no os hagáis bien con el entorno de desarrollo, Estamos terminando una factoría para disponer de binarios cada día con los avances que se vayan realizando y estará ya disponible los próximos días.

Os iremos informando, os mandaba este mensaje para que sepáis que estamos trabajando en ello para que tengáis pronto nightlies cada día.

Un abrazo y animo!

Pablo Ariel Vazquez

unread,
Oct 13, 2020, 1:24:27 AM10/13/20
to FPGAwars: explorando el lado libre
Ramiro, buenas tardes. Espero te encuentres bien.
Te confirmo tu sospecha, estoy ejecutando la rama develop del git, según el manual de icestudio. Con el cual me funciono.
En el caso del Nightly, lo he probado pero solo me levanta la app sin menús, no puedo hacer nada allí.

Avisame, si necesitas que pruebe algun cambio en la ejecución.

Saludos,
Pablo V.

Juan Gonzalez Gomez

unread,
Oct 13, 2020, 1:33:58 AM10/13/20
to FPGA-WARS: explorando el lado libre
Hola,

El soporte de la EDU-CIAA-FPGA sólo está probado en la rama develop de icestudio upstream [1],  de la que de momento no hay ejecutables todavía (hay que arrancarla con npm start)

En icestudio hay un total de 140 forks hechos por diferentes usuarios, cada uno con sus propios cambios. Yo hago todas las pruebas e integraciones en el upstream, y luego de ahí cada usuario que lo integre y pruebe en su fork

Los ejecutables de icestudio upstream saldrán posiblemente esta semana. Como todo en el software libre, esto es un tema de tiempo. Lo hacemos en nuestro tiempo libre y nos es imposible avanzar a la velocidad que nos gustaría
El mar., 13 oct. 2020 a las 7:24, Pablo Ariel Vazquez (<pabloa...@gmail.com>) escribió:
Ramiro, buenas tardes. Espero te encuentres bien.
Te confirmo tu sospecha, estoy ejecutando la rama develop del git, según el manual de icestudio. Con el cual me funciono.
En el caso del Nightly, lo he probado pero solo me levanta la app sin menús, no puedo hacer nada allí.

Avisame, si necesitas que pruebe algun cambio en la ejecución.

Saludos,
Pablo V.


--
Has recibido este mensaje porque estás suscrito al grupo "FPGAwars: explorando el lado libre" de Grupos de Google.
Para cancelar la suscripción a este grupo y dejar de recibir sus mensajes, envía un correo electrónico a fpga-wars-explorando-el...@googlegroups.com.

Nayko Salas976

unread,
Oct 13, 2020, 1:34:55 AM10/13/20
to FPGAwars: explorando el lado libre

yo soy el que hizo la pregunta sobre el soporte del icestudio en mercado libre jajaja  

saludos

Facundo Larosa

unread,
Oct 13, 2020, 9:00:26 AM10/13/20
to FPGAwars: explorando el lado libre
Hola Nayko,

En este mismo hilo podés ver las instrucciones de como usar la versión develop de ICEStudio para la EDU-CIAA-FPGA. Por favor, revisá el tercer correo de Obi Juan del 29/9 donde explica detalladamente las instrucciones para poder realizar las pruebas. Varios usuarios las pudimos completar con éxito siguiendo esos pasos.

saludos!

Facundo

Ramiro Adrian Ghignone

unread,
Oct 13, 2020, 9:37:32 AM10/13/20
to FPGAwars: explorando el lado libre
Buenos días a todos,

Pablo : gracias por responder, solamente quería estar seguro de que (no) hablábamos de lo mismo.

Charliva, Obijuan : Por supuesto entendemos que todo este trabajo es hecho plenamente a pulmón, también nos pasa que no siempre tenemos el tiempo que quisiéramos dedicarle a avanzar con la EDU-CIAA-FPGA (Facundo sabe que es un tema de charla recurrente). Por eso estoy muy contento de que haya tantas placas y participantes de la comunidad que se van integrando a este flujo de trabajo, como comentaban más arriba y se refleja en los hilos del grupo. Desde ya que mis comentarios no son para apurar nada, son solamente para que haya un feedback constante a medida que logramos ir haciendo pruebas.

Gracias y felicitaciones nuevamente. Y como siempre, quedo a plena disposición. 

Saludos !
Ramiro.

charli va

unread,
Oct 13, 2020, 9:59:29 AM10/13/20
to fpga-wars-explora...@googlegroups.com
Hola Ramiro! ha coincidido que estos días en España era fiesta nacional y con algún otro lio personal se nos ha alargado el sacar las nightlies (queŕíamos haberlas lanzado el viernes).

Además se ha juntado que las descargas de las nightlies están disparadas y nos hemos quedado por dos veces sin cuota en github  LFS, esto nos ha hecho replantearnos el modelo de distribución y estamos preparando otro entorno de descarga para que no nos encontremos sin cuota de nuevo. 

En definitiva muchos cambios en los últimos días, pero en nada tendreis publicados los binarios y de cara a la semana que viene tendremos un entorno automatizado de creación de binarios para que ya de forma automática cada día se genere un nuevo binario con los avances que haya en el repositorio.

Sólamente daros las gracias por estar  utilizando icestudio y darnos todo vuestro feedback que es maravillo y fundamental para que la comunidad siga creciendo, ¡GRACIAS!



Nayko Salas976

unread,
Oct 13, 2020, 2:21:15 PM10/13/20
to FPGAwars: explorando el lado libre

gracias facundo por tu respuesta! ya lo resolvi de una manera poco ortodoxa calculo pero anoche pude actualizar el toolchain y cargar algunos ejemplos con exito! 
El martes, 13 de octubre de 2020 a las 10:00:26 UTC-3, facund...@gmail.com escribió:

Facundo Larosa

unread,
Oct 13, 2020, 4:54:18 PM10/13/20
to fpga-wars-explora...@googlegroups.com
Excelente me alegro mucho!

saludos,

Facundo

Eduardo Filomena

unread,
Oct 21, 2020, 12:11:00 AM10/21/20
to fpga-wars-explora...@googlegroups.com
Buenas tardes a todos, les escribo desde Santa Fe, Argentina.
Estoy intentando usar Icestudio con la EDU-CIAA-FPGA sobre Windows pero no me deja Verificar ("UNKNOWN BOARD")
Hice el update del toolchain, pero me instala la versión 0.5.4 de Apio. Puede estar por allí el error??? Cómo se puede actualizar a la versión 0.5.5??
Desde ya muchas gracias!!!!
Saludos cordiales



--
Mg. Bioing. Eduardo Filomena

Profesor Titular 
Electrónica Digital - Dpto. Académico Electrónica
Facultad de Ingeniería - UNER
Tel: 54-343-4975077 Int 123

Juan Gonzalez Gomez

unread,
Oct 21, 2020, 12:39:35 AM10/21/20
to FPGA-WARS: explorando el lado libre
Hola Eduardo,

Asegúrate que tienes instalada la última versión de icestudio, que es donde está el soporte para la placa EDU-CIAA-FPGA:  icestudio 0.5.1n201009

Lo puedes descargar desde este enlace


Desde la opción Herramientas/Toolchain/Actualizar actualizas a apio 0.5.5

PERO:

Si lo que tienes instalado es la versión 0.5.0-estable de icestudio deberás seguir estos pasos, ya que es una versión antigua y te habrá instalado dependencias que ya están obsoletas

1. Desinstala icestudio-0.5.0
2. Desinstala python 2.7
3. Instala icestudio-0.5.1n201009
4. Actualiza la toolchain

Saludos, Obijuan


Eduardo Filomena

unread,
Oct 21, 2020, 5:48:23 AM10/21/20
to fpga-wars-explora...@googlegroups.com
Gracias por la respuesta Obijuan!.
Si, tengo instalada la versión 05-1n201009
Pero cuando actualizo el toolchain, lo actualiza a Apio 0.5.4
image.png
image.png

Guitarreria.com

unread,
Oct 21, 2020, 6:14:24 AM10/21/20
to fpga-wars-explora...@googlegroups.com
Hola Juan

Tengo instalada la icestudio-0.5.1n201009 hace varios dias , en Win10
al ver tu mensaje he visto que tenia 0.5.4 de Apio.
He intentado actualizarlo y hacia el 30% da un error en el proceso de actualización.

Saludos
German
image.png



--

Obijuan

unread,
Oct 21, 2020, 12:12:59 PM10/21/20
to FPGAwars: explorando el lado libre
¿Qué es lo que ocurre exactamente cuando le das al update? ¿Te sale algún mensaje de error? En principio se debería descargar la nuevo toolchain. Si puedes enviar más información sería genial

Gracias por las pruebas

Saludos, Obijuan

Obijuan

unread,
Oct 21, 2020, 12:17:17 PM10/21/20
to FPGAwars: explorando el lado libre
Hola Germán!

 OK, como es una versión de desarrollo, para que se instale correctamente la toolchain es necesario tener git instalado:

Lo puedes instalar para windows desde aquí: 

(64-bit Git for Windows Setup)


Una vez instalado, arranca icestudio y dale otra vez a la actualización

Cuando salga la próxima versión estable no será necesario tener git instalado, pero en la de desarrollo es necesario para que se baje la versión de desarrollo de apio (0.5.5)

Saludos, Obijuan

Eduardo Filomena

unread,
Oct 22, 2020, 7:11:59 AM10/22/20
to fpga-wars-explora...@googlegroups.com
Hola Obijuan. Tenía un problema con la versión de GIT. Actualicé a la última versión y ahí puede instalar la versión 0.5.5 de APIO. 
Todo funciona de maravillas!!!
Estamos pensando en utilizar ICESTUDIO y la EDUFPGA para una asignatura de Bioingeniería.
Muchisimas gracias!!!!
Saludos cordiales!!!

Juan Gonzalez Gomez

unread,
Oct 22, 2020, 7:35:55 AM10/22/20
to FPGA-WARS: explorando el lado libre
Hola Eduardo!

¡Estupendo! :-)  La versión estable será más fácil de instalar (sin necesidad de git)

Es genial que uséis FPGAs libre en la asignatura de Bioingeniería. Ya nos irás dando más detalles, que suena muy interesante

Saludos, Obijuan

Guitarreria.com

unread,
Oct 22, 2020, 12:36:44 PM10/22/20
to fpga-wars-explora...@googlegroups.com
Perfecto !!
Muchas gracias!
German



--
Reply all
Reply to author
Forward
0 new messages