⚡⚡⚡ Icestudio WIP - OSX and Linux Arm64 native support - Yosys upgrade - Python 3.12 ...

133 views
Skip to first unread message

charli va

unread,
Feb 4, 2024, 5:32:21 PMFeb 4
to fpga-wars-explora...@googlegroups.com
// IN ENGLISH BELOW

¡Hola a todos!

Para el que quiera o pueda echar una mano a testear la última wip, ya está disponible para su 
descarga.

¿Qué contiene la nueva wip?

- Soporte python 3.12
- OSS Cad Suite 19-01-2024
- NPM 21.1.0
- NWJS 0.83
- Soporte Linux Arm 64 nativa (antes era emulación 32bits). Lo he probado en una raspberry pi 4 y va muy bien.
- Soporte OSX Arm 64 nativo (va fenomenal).
- DMG para OSX Arm64 firmado con certificado Apple Developer (ya no hay que añadir excepcións de seguridad para instalar), en próximas versiones extenderé la firma a OSX x86
- Automatización de permisos de seguridad al installar APIO en OSX (arm 64 y x86), se acabó el "terror" de la asignación de permisos en todos los ejecutables de la oss cad suite. Al instalar la toolchain Icestudio pide la password de administrador cuando lo necesita y ajusta los permisos.
- Soporte Windows Arm 64 (emulando x86).
- Botón para volcar en raw la sesión de terminal serie en hexadecimal (en las próximas wips muchas más mejoras para el terminal serie).


Esta wip contiene la nueva toolchain de Yosys, esto es muy interesante ya que las herramientas están mejorando muchísimo la síntesis.

Si podeis borrad vuestros datos de instalación para partir de cero, luego instalar apio estable y luego Apio development (os deberá aparece apio 0.9.1)

En los próximos días iré subiendo nuevas wips con mejoras en el proceso de instalación y bastante cambios importantes y mejoras que os iré contando.

Ando trabajando en un sistema de auto-actualización para que sea sencillo este proceso y no suponga siempre un "trauma" probar nuevas versiones.

En esta nueva wip se incluye la arquitectura nativa de 64 bits para OSX (procesadores M1) que hasta ahora funcionaba con un sistema de emulación nativo  del código x86 (gracias Sam por el aviso y los primeros tests).

Una mejora importante para los usuarios de OSX (M1 e intel) es. que se ha solucionado la pesadilla de solicitud de permisos durante el proceso de instalación y ejecución, ahora simplemente en la instalación el sistema solicita la password del administrador para realizar la configuración correcta.

Como siempre la podéis descargar de https://downloads.icestudio.io

Un agradecimiento especial nuevamente a Sam por sus comentarios e ideas y un gran agradecimiento a Demócrito por probarlo en Windows en primera instancia antes de lanzar el wip para que llegara sin errores importantes de inicio.


// ENGLISH

Hello everyone!

For those who want or can help test the latest wip, it is now available for download.

What does the new wip contain?

- Python 3.12 support
- OSS Cad Suite 01-19-2024
- NPM 21.1.0
- NWJS 0.83
- Native Linux Arm 64 support (previously it was 32-bit emulation). I have tried it on a raspberry pi 4 and it works very well.
- Native OSX Arm 64 support (it's going great).
- DMG for OSX Arm64 signed with Apple Developer certificate (you no longer have to add security exceptions to install), in future versions I will extend the signature to OSX x86
- Automation of security permissions when installing APIO on OSX (arm 64 and x86), the "terror" of assigning permissions in all executables of the oss cad suite is over. When installing the Icestudio toolchain, it asks for the administrator password when it needs it and adjusts the permissions.
- Windows Arm 64 support (emulating x86).
- Button to dump the serial terminal session in raw hexadecimal (in the next wips there will be many more improvements for the serial terminal).

This wip contains the new Yosys toolchain, this is very interesting as the tools are improving synthesis a lot.

If you can remove the installation data from scratch, install Apio stable and then Apio development (celery 0.9.1 should appear)

In the next few days I will be uploading new wips with improvements in the installation process and many important changes and improvements that I will tell you about.

I am working on a self-updating system so that this process is simple and it does not always involve a "trauma" to try new versions.

This new wip includes the native 64-bit architecture for OSX (M1 processors) that until now worked with a native x86 code emulation system (thanks Sam for the notice and the first tests).

At OSX other improvement at the installation step is that now the pain of permissions is over. Only a dialog box to put the administrator pass appears sometimes during the installation process.

As always you can download it from https://downloads.icestudio.io

Special thanks again Sam for your feedback and ideas and great thanks  to Democrito for testing it on Windows in the first instance before releasing the wip so that it arrives without major startup errors.

Jo mo

unread,
Feb 5, 2024, 1:09:00 PMFeb 5
to FPGAwars: explorando el lado libre
Hola Charly,

Thanks a lot for the update !
i will test it tonight and let you know !

a Hug

Democrito

unread,
Feb 5, 2024, 1:32:37 PMFeb 5
to FPGAwars: explorando el lado libre
Acabo de instalar esta wip y de momento todo va bien. Después de descargar las Toolchains 0.8.4 y luego la 0.9.1, subí un circuito de cierta complejidad y todo bien.

charli va

unread,
Feb 5, 2024, 2:55:09 PMFeb 5
to fpga-wars-explora...@googlegroups.com
Thanks for try!!! i'm improving the installer for next wips, your feedback it's very important for me!



El lun, 5 feb 2024 a las 19:32, Democrito (<spo...@gmail.com>) escribió:
Acabo de instalar esta wip y de momento todo va bien. Después de descargar las Toolchains 0.8.4 y luego la 0.9.1, subí un circuito de cierta complejidad y todo bien.

--
Has recibido este mensaje porque estás suscrito al grupo "FPGAwars: explorando el lado libre" de Grupos de Google.
Para cancelar la suscripción a este grupo y dejar de recibir sus mensajes, envía un correo electrónico a fpga-wars-explorando-el...@googlegroups.com.
Para ver esta conversación en el sitio web, visita https://groups.google.com/d/msgid/fpga-wars-explorando-el-lado-libre/b761740c-c62a-4f13-b3b1-a7fcae33186fn%40googlegroups.com.

Jo mo

unread,
Feb 5, 2024, 3:17:34 PMFeb 5
to FPGAwars: explorando el lado libre
So i installed the new wip on a new win11 family portable computer!
I could install the stable toolchain apio 0.8.4.
But then i could not install the development version of Apio!
got this error:image_2024-02-05_211149421.png

I am using the wifi connection in my hotel (not at home now) ? !

i have an older portable computer with me ( with an older version of windows) so i will try on it too and let you know !

have a nice evening guys

charli va

unread,
Feb 5, 2024, 3:46:49 PMFeb 5
to fpga-wars-explora...@googlegroups.com
Jo! you need to install git!! in the next wip i'm separete python and git and ask for the user to install it but for now you need to install for your way:


Install git and relaunch icestudio, then you could install the latest toolchain!


Jo mo

unread,
Feb 5, 2024, 7:35:53 PMFeb 5
to FPGAwars: explorando el lado libre
Gracias Charli, it was the reason !
Git was never installed on that computer! 

So now i have the right apio and could fully compile & load a design to my colorligth board! (running win 11)! 
So all fine for me !

have a nice week!

charli va

unread,
Feb 6, 2024, 3:37:39 PMFeb 6
to fpga-wars-explora...@googlegroups.com
A lot of thanks Joaquim! i'm very happy to see all went ok!

charli va

unread,
Feb 7, 2024, 12:29:22 PMFeb 7
to fpga-wars-explora...@googlegroups.com
Hi team!  sorry for the inconvenience, debugging osx arm64 with the Sam feedback, i found a bug in our tools package, that affect all operating systems.

 if you could uninstall the last wip, remove your Icestudio folder (.icestudio) to start clean and then download the last wip and reinstall toolchains development, i appreciate a lot ( i need that you check all works :)
  )

Thanks a lot!


Democrito

unread,
Feb 7, 2024, 4:28:50 PMFeb 7
to FPGAwars: explorando el lado libre
Hola Carlos,

Con esta nueva wip sucede como en la penúltima wip, salen varios fallos diferentes mientras se trata de subir un circuito a la placa, fue algo que vimos por correo personal. Te dejo con dos imágenes:

error apio 091.png


error apio 091 - 2.png

Estos errores los da con la versión apio 0.9.1, con la versión 0.8.4 no da problemas y sube los circuitos.

Durante la instalación de la toolchains (ambas versiones) no hubo ningún problema, se instaló correctamente.

Los drivers los tengo correctamente instalados.

charli va

unread,
Feb 7, 2024, 4:52:38 PMFeb 7
to fpga-wars-explora...@googlegroups.com
Gracias Demócrito! no sé si puedes volver a probar, desde cero, he revertido a una toolchain anterior (de fecha 2023-11-24) que es la última que no falla el ftdi en windows.

Tengo que revisar que han cambiado a partir de esa fecha que el ftdi en windows efectivamente ha dejado de funcionar.

Aún así esa versión es muchísimo más moderna que las últimas wips así que el salto está dado hata que solucionemos el problema, trabajaré en ello los próximos días.

Avísame, con esta debería ir todo bien.



--
Has recibido este mensaje porque estás suscrito al grupo "FPGAwars: explorando el lado libre" de Grupos de Google.
Para cancelar la suscripción a este grupo y dejar de recibir sus mensajes, envía un correo electrónico a fpga-wars-explorando-el...@googlegroups.com.

Democrito

unread,
Feb 7, 2024, 6:54:52 PMFeb 7
to FPGAwars: explorando el lado libre
He hecho una instalación limpia de la wip que me has indicado y ahora sale este mensaje:

error python.png

charli va

unread,
Feb 8, 2024, 12:43:43 AMFeb 8
to fpga-wars-explora...@googlegroups.com
Prueba a desinstalar python e instalar el python que va en el instalador.

El jue, 8 feb 2024 a las 0:54, Democrito (<spo...@gmail.com>) escribió:
He hecho una instalación limpia de la wip que me has indicado y ahora sale este mensaje:

error python.png

--
Has recibido este mensaje porque estás suscrito al grupo "FPGAwars: explorando el lado libre" de Grupos de Google.
Para cancelar la suscripción a este grupo y dejar de recibir sus mensajes, envía un correo electrónico a fpga-wars-explorando-el...@googlegroups.com.

charli va

unread,
Feb 8, 2024, 3:15:19 AMFeb 8
to fpga-wars-explora...@googlegroups.com
Demócrito espera y no gastes energía que estoy trabajando en ello,parece que hay algunos problemas directamente desde los paquetes de yosys.

Estoy viendo posibles soluciones, os comento en el día.

charli va

unread,
Feb 8, 2024, 6:56:24 AMFeb 8
to fpga-wars-explora...@googlegroups.com
Buenas Demócrito, te cuento esto parece ser un problema de la configuración de Python, nos afecta pero no tiene que ver con icestudio como tal (no hace falta que lo descargues ni nada de nuevo).

Prueba a ver en las variables de entorno si tuvieras restos de alguna instalación antigua de python, por ejemplo a mi me salían restos del python 3.9 que ya no lo tengo:

Captura de pantalla 2024-02-08 a las 9.48.13.png

si te pasa eso, elimínalas.

Si puedes borra también el directorio .icestudio

reinicia el equipo y vuelve a arrancar icestudio, reinstala la toolchain y a ver si te funciona. si te sale ese error, cierra icestudio , vuelve a abrirlo y vuelve a intentar hacer el build a ver si a la segunda funciona.

Estoy intentando ver el patrón porque es un tema de variables de entorno que por algún motivo se lía.

Ya me dices cuando puedas probar a ver si damos con ello.

Democrito

unread,
Feb 8, 2024, 9:55:41 AMFeb 8
to FPGAwars: explorando el lado libre
Te he enviado un correo personal.

charli va

unread,
Feb 10, 2024, 3:27:12 AMFeb 10
to fpga-wars-explora...@googlegroups.com
// ENGLISH BELOW

Hola a todos! he estado trabajando con Demócrito en esto y os comento, si podéis bajaros la última wip 0.11.3w202402070902 como siempre desde https://downloads.icestudio.io

Contiene la toolchain de yosys del día 8 de Febrero de 2024.

Para los usuarios de linux y osx nada nuevo pero para los de windows hay un cambio importante. Aquellas tarjetas que usen el driver ftdi, no van a necesitar usar ZADIG, de hecho, hay que retornar el driver a WINUSB (el nativo) ya que con el libusk actual ya no funcionará.

Voy a modificar Icestudio este fin de semana para no instalar zadig ni pedir el cambio del driver al usuario cuando lo active pero hasta el momento si queréis ir probando, simplemente eso, si instalais de cero, cuando se abra zadig, cerrarlo sin hacer nada y si ya tenéis zadig y no os funciona el lsftdi , ejecutarlo y volver a retornar al driver original.

Un abrazo equipo!


//ENGLISH
Hello everyone! I have been working with Democrito on this and I tell you, if you can download the latest wip 0.11.3w202402070902 as always from https://downloads.icestudio.io

Contains the yosys toolchain from February 8, 2024.

For Linux and OSX users nothing new but for Windows users there is an important change. Those cards that use the ftdi driver will not need to use ZADIG, in fact, you must return the driver to WINUSB (the native one) since it will no longer work with the current libusk.

I am going to modify Icestudio this weekend so as not to install zadig or ask the user to change the driver when they activate it, but for now if you want to try, simply that, if you install from scratch, when zadig opens, close it without doing anything and If you already have zadig and lsftdi does not work, run it and return to the original driver.

A team hug!


Democrito

unread,
Feb 10, 2024, 4:41:19 AMFeb 10
to FPGAwars: explorando el lado libre
Spanish/English

Hola,

además de lo que ha comentado Carlos, quisiera añadir estas líneas para aclararlo aún más.

A partir de la nueva WIP en teoría ya no hará falta usar Zadig para los usuarios Windows (que además somos muchos), pero hay que aclarar una cosa. Si anteriormente lo hemos utilizado y hemos instalado el driver libusbk, entonces hay que usar Zadig para usar el driver que en teoría pondría por defecto Windows que es el driver WinUSB.

Los usuarios nuevos (instalar por primera vez en entornos Windows)  no tendrán ningún problema en este sentido, pero los que hemos modificado el driver anteriormente, es probable que tengamos que usar Zadig e instalar el driver WinUSB, que en teoría se instalaría por defecto si no lo hemos modificado anteriormente.

Un saludo.

-----------------------------------------------

Hi!


In addition to what Carlos has mentioned, I would like to add these lines to further clarify.

According to the new WIP (Work in Progress), theoretically, it will no longer be necessary to use Zadig for Windows users (of which there are many). However, one thing needs to be clarified. If we have previously used Zadig and installed the libusbk driver, then we need to use Zadig to use the driver that Windows would theoretically set as the default, which is the WinUSB driver.

New users (installing for the first time on Windows environments) will not have any issues in this regard. However, those of us who have previously modified the driver may need to use Zadig and install the WinUSB driver, which would be installed by default if we haven't modified it before.


Best regards.

Obijuan

unread,
Feb 10, 2024, 6:53:24 AMFeb 10
to FPGAwars: explorando el lado libre
Acabo de probar la última wip 0.11.3w202402070902 en Ubuntu 22-04 y de momento funciona perfecto

Todavía no he probado a sintentizar circuitos complejos, pero con los básicos me ha funcionado a la primera y sin problemas

Muchísimas gracias a Carlos y Demócrito!!!

En cuanto pueda voy a estabilidar apio, y sacar una release estable, para que se pueda instalar desde icestudio directamente (y que no se necesite tener instalado git). Quiero aprovechar para actualizar la documentación de apio para la nueva toolchain, que hay muchas cosas que se han quedado obsoletas

Saludos, Obijuan

charli va

unread,
Feb 10, 2024, 7:08:31 AMFeb 10
to fpga-wars-explora...@googlegroups.com
Muchas gracias Obijuan!  he estado justo hablando con Demócrito en paralelo que se está encargando el de testearme todo lo referente a windows (infinitas gracias Demócrito).

Como ahora no va a hacer falta Zadig para windows, hemos llegado a la siguiente conclusión, os lo expongo a ver que os parece:

1) Con las nuevas wips o las nuevas releases que estabilicemos, habrá gente que ya tenga suplantado el driver oficial de windows por el de libusk con zadig, así que cuando instalemos la toolchain, en el momento de chequear el driver sacaré una pantalla que te pregunte si ya moviste el driver con zadig y un SI/NO
2) Si das a NO (usuario nuevo) no se hace nada
3) Si das a SÍ, cambiamos el cuadro de diálogo actual indicándote la operación de cambio de driver por otra que diga qu etienes que restituir el WINUSB.

Esto sólo afecta a windows.

Para usuarios de OSX arm64 ahroa mismo hay un problema y es que no puedo generar las wips automáticamente en github porque no hay soporte aun para actions en M1, lo que estoy haciendo es generar yo el dmg (que además lo estoy firmando con una cuenta de pago de Apple Developer mía) y subiéndolo a un servidor, esto lo hago antes de lanzar el commit, así cuando se hace el commit el action para OSX arm64 se baja ya los bundles.

Con la firma lo que se consigue es que OSX te permita instalarlo sin problemas porque actualmente había un dolor de cabeza importante para pasar los permisos de seguridad de OSX.

Si hacéis algún PR no os preocupeis porque estaré atento y aunque inicialmente no se genere el arm64, lo generaré yo después y listo.

Igual que he hecho con el tema de OSX estoy preparando para firmar la de Windows y así que tampoco aparezca como malware cuando se instala de inicio ahora mismo. Son pequeñas cosas que harán a la gente más fácil de usar.

Por otro lado aprovecho para comentaros que voy a mejorar mucho el sistema de instalación estos meses próximos, voy a publicaros un roadmap de desarrollo en breve para que sepáis por dónde voy e incluso me deis feedback que en un momento dado me haga reorganizar las prioridades.

Ahora voy a estar con varios frentes abiertos en paralelo porque tienen relación entre ellos que van a ser:

1) Mejoras en el terminal serie, esto viene del hilo del ADC/DAC que estoy documentando para publicar bloques, documentación y varias mejoras muy chulas del terminal serie que os van a encantar.
2) Mejoras en todo el proceso de instalación
3) Biblioteca online de colecciones ( ¿IceStore? ) para que sea fácil para todo el mundo instalarse bloques, colecciones, mantenerlas actualizadas, buscar y también compartir (compartir nuestros bloques y colecciones a través del propio Icestudio será un segundo paso primero probaremos la primera etapa). Lo tengo todo muy avanzado y en breve os lo voy a lanzar.

Un gran abrazo a todos equipo y buen FPGA Finde!



--
Has recibido este mensaje porque estás suscrito al grupo "FPGAwars: explorando el lado libre" de Grupos de Google.
Para cancelar la suscripción a este grupo y dejar de recibir sus mensajes, envía un correo electrónico a fpga-wars-explorando-el...@googlegroups.com.
Reply all
Reply to author
Forward
0 new messages