Bitstream build error: board_part definition was not found

18 views
Skip to first unread message

Anjelica Bian

unread,
Jun 7, 2024, 2:37:48 PM (12 days ago) Jun 7
to FireSim
Hi all!

I am working through the document building your own hardware designs. When I tried to execute "firesim buildbitstream", I get the error that "The board_part definition was not found for xilinx.com:au280:part0:1.2". 

When I go to Vivado's TCL console, "get_board_parts", I only get parts "xilinx.com:au280:part0:1.0" and "xilinx.com:au280:part0:1.1", and I also get two warnings:
1) xilinx.com:au280_es1:part0:1.0 available at <...> is either invalid or not available.
2) xilinx.com:au280_es1:part0:1.1 available at <...> is either invalid or not available.

What could be going wrong? The log is shown below.

Best,
Anjelica
2024-06-07--16-59-54-buildbitstream-74JIDKVPPRAA6UCF.log

Nikolaos Charalampos Papadopoulos

unread,
Jun 9, 2024, 7:55:54 AM (10 days ago) Jun 9
to FireSim
Hello Anjelica,

You can download board files through this link: https://www.xilinx.com/products/boards-and-kits/alveo/u280.html#vivado.
Unzip the file, and place au280 directory in VIVADO_INSTALL/2021.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/ in order for Vivado to locate the board part.

Regards,
Nikos

Anjelica Bian

unread,
Jun 9, 2024, 12:13:34 PM (10 days ago) Jun 9
to FireSim
Thank you so much! 

This problem is resolved, but when I try to run the program again, another issue arises saying that it cannot find the file <cl_xilinx_alveo_u280-firesim-FireSim-FireSimRocketConfig-BaseXilinxAlveoConfig/scripts/create_bd_2021.2.tcl>. I am using Vivado 2021.2 instead of the recommended Vivado 2021.1 due to my computer's storage issues. Below is the full log, but I was wondering if this problem is caused by my Vivado version...

Best,
Anjelica
.
.
.
2024-06-09 15:59:26,726 [main        ] [INFO ]  FireSim Manager. Docs: https://docs.fires.im
Running: buildbitstream

2024-06-09 15:59:26,760 [flush       ] [DEBUG]  [localhost] Executing task 'replace_rtl'
2024-06-09 15:59:26,760 [replace_rtl ] [INFO ]  Building Verilog for xilinx_alveo_u280-firesim-FireSim-FireSimRocketConfig-BaseXilinxAlveoConfig
2024-06-09 15:59:26,760 [flush       ] [INFO ]  [localhost] local: pwd
2024-06-09 15:59:26,762 [flush       ] [INFO ]  [localhost] run: make PLATFORM=xilinx_alveo_u280 TARGET_PROJECT=firesim DESIGN=FireSim TARGET_CONFIG=FireSimRocketConfig PLATFORM_CONFIG=BaseXilinxAlveoConfig replace-rtl
2024-06-09 15:59:32,784 [flush       ] [INFO ]  [localhost] out: make: Nothing to be done for 'replace-rtl'.
2024-06-09 15:59:32,785 [flush       ] [INFO ]  [localhost] out:
2024-06-09 15:59:32,796 [flush       ] [DEBUG]  [localhost] Executing task 'build_driver'
2024-06-09 15:59:32,796 [build_driver] [INFO ]  Building FPGA driver for xilinx_alveo_u280-firesim-FireSim-FireSimRocketConfig-BaseXilinxAlveoConfig
2024-06-09 15:59:32,796 [flush       ] [INFO ]  [localhost] local: pwd
2024-06-09 15:59:32,798 [flush       ] [INFO ]  [localhost] run: make PLATFORM=xilinx_alveo_u280 TARGET_PROJECT=firesim DESIGN=FireSim TARGET_CONFIG=FireSimRocketConfig PLATFORM_CONFIG=BaseXilinxAlveoConfig driver
2024-06-09 15:59:38,639 [flush       ] [INFO ]  [localhost] out: make: Nothing to be done for 'driver'.
2024-06-09 15:59:38,639 [flush       ] [INFO ]  [localhost] out:
2024-06-09 15:59:38,650 [flush       ] [DEBUG]  [localhost] Executing task 'parallel_build_helper'
2024-06-09 15:59:38,654 [build_bitstr] [INFO ]  Building Xilinx Alveo xilinx_alveo_u280 Bitstream from Verilog
2024-06-09 15:59:38,655 [flush       ] [DEBUG]  [localhost] local: pwd
2024-06-09 15:59:38,657 [flush       ] [DEBUG]  [localhost] local: pwd
2024-06-09 15:59:38,658 [flush       ] [DEBUG]  [localhost] run: mkdir -p /home/anjelica/build_dir/platforms/xilinx_alveo_u280
2024-06-09 15:59:39,356 [flush       ] [DEBUG]  [localhost] run: rm -rf /home/anjelica/build_dir/platforms/xilinx_alveo_u280/cl_xilinx_alveo_u280-firesim-FireSim-FireSimRocketConfig-BaseXilinxAlveoConfig
2024-06-09 15:59:39,884 [flush       ] [DEBUG]  [localhost] rsync_project: rsync  --exclude "cl_*" -pthrvz -L --rsh='ssh   -o StrictHostKeyChecking=no ' /home/anjelica/firesim/deploy/../platforms/xilinx_alveo_u280 anjelica@localhost:/home/anjelica/build_dir/platforms/xilinx_alveo_u280
2024-06-09 15:59:39,885 [flush       ] [DEBUG]  [localhost] local: rsync  --exclude "cl_*" -pthrvz -L --rsh='ssh   -o StrictHostKeyChecking=no ' /home/anjelica/firesim/deploy/../platforms/xilinx_alveo_u280 anjelica@localhost:/home/anjelica/build_dir/platforms/xilinx_alveo_u280
2024-06-09 15:59:40,125 [cl_dir_setup] [DEBUG]  sending incremental file list
xilinx_alveo_u280/
xilinx_alveo_u280/.gitignore
xilinx_alveo_u280/build-bitstream.sh
xilinx_alveo_u280/scripts/
xilinx_alveo_u280/scripts/berkeley-setup-fpgas.sh
xilinx_alveo_u280/scripts/firesim.py
xilinx_alveo_u280/scripts/fpga-util.py
xilinx_alveo_u280/scripts/generate-fpga-db.py
xilinx_alveo_u280/scripts/get_serial_dev_for_fpgas.tcl
xilinx_alveo_u280/scripts/pcielib.py
xilinx_alveo_u280/scripts/program_fpga.tcl
xilinx_alveo_u280/scripts/util.py

sent 10.12K bytes  received 222 bytes  6.90K bytes/sec
total size is 30.37K  speedup is 2.94
2024-06-09 15:59:40,125 [cl_dir_setup] [DEBUG]  
2024-06-09 15:59:40,125 [flush       ] [DEBUG]  [localhost] rsync_project: rsync  -pthrvz -L --rsh='ssh   -o StrictHostKeyChecking=no ' /home/anjelica/firesim/deploy/../platforms/xilinx_alveo_u280/cl_xilinx_alveo_u280-firesim-FireSim-FireSimRocketConfig-BaseXilinxAlveoConfig/ anjelica@localhost:/home/anjelica/build_dir/platforms/xilinx_alveo_u280/cl_xilinx_alveo_u280-firesim-FireSim-FireSimRocketConfig-BaseXilinxAlveoConfig
2024-06-09 15:59:40,125 [flush       ] [DEBUG]  [localhost] local: rsync  -pthrvz -L --rsh='ssh   -o StrictHostKeyChecking=no ' /home/anjelica/firesim/deploy/../platforms/xilinx_alveo_u280/cl_xilinx_alveo_u280-firesim-FireSim-FireSimRocketConfig-BaseXilinxAlveoConfig/ anjelica@localhost:/home/anjelica/build_dir/platforms/xilinx_alveo_u280/cl_xilinx_alveo_u280-firesim-FireSim-FireSimRocketConfig-BaseXilinxAlveoConfig
2024-06-09 15:59:40,909 [cl_dir_setup] [DEBUG]  sending incremental file list
created directory /home/anjelica/build_dir/platforms/xilinx_alveo_u280/cl_xilinx_alveo_u280-firesim-FireSim-FireSimRocketConfig-BaseXilinxAlveoConfig
./
stamp
design/
design/FireSim-generated.defines.vh
design/FireSim-generated.implementation.xdc
design/FireSim-generated.sv
design/FireSim-generated.synthesis.xdc
design/axi_tieoff_master.v
design/bitstream_config.xdc
design/firesim_wrapper.v
driver/
driver/FireSim-xilinx_alveo_u280
scripts/
scripts/au280.tcl
scripts/create_bd_2021.1.tcl
scripts/create_bd_2022.1.tcl
scripts/create_bd_2022.2.tcl
scripts/implementation_2021.1.tcl
scripts/implementation_2022.1.tcl
scripts/implementation_2022.2.tcl
scripts/main.tcl
scripts/platform_env.tcl
scripts/synthesis.tcl

sent 4.24M bytes  received 562 bytes  8.47M bytes/sec
total size is 17.33M  speedup is 4.09
2024-06-09 15:59:40,909 [cl_dir_setup] [DEBUG]  
2024-06-09 15:59:40,909 [flush       ] [DEBUG]  [localhost] rsync_project: rsync  -pthrvz -L --rsh='ssh   -o StrictHostKeyChecking=no ' /home/anjelica/firesim/deploy/../platforms/xilinx_alveo_u280/build-bitstream.sh anjelica@localhost:/home/anjelica/build_dir/platforms/xilinx_alveo_u280/cl_xilinx_alveo_u280-firesim-FireSim-FireSimRocketConfig-BaseXilinxAlveoConfig/
2024-06-09 15:59:40,909 [flush       ] [DEBUG]  [localhost] local: rsync  -pthrvz -L --rsh='ssh   -o StrictHostKeyChecking=no ' /home/anjelica/firesim/deploy/../platforms/xilinx_alveo_u280/build-bitstream.sh anjelica@localhost:/home/anjelica/build_dir/platforms/xilinx_alveo_u280/cl_xilinx_alveo_u280-firesim-FireSim-FireSimRocketConfig-BaseXilinxAlveoConfig/
2024-06-09 15:59:41,145 [build_bitstr] [DEBUG]  sending incremental file list
build-bitstream.sh

sent 803 bytes  received 35 bytes  558.67 bytes/sec
total size is 1.68K  speedup is 2.01
2024-06-09 15:59:41,145 [build_bitstr] [DEBUG]  
2024-06-09 15:59:41,145 [flush       ] [INFO ]  [localhost] run: /home/anjelica/build_dir/platforms/xilinx_alveo_u280/cl_xilinx_alveo_u280-firesim-FireSim-FireSimRocketConfig-BaseXilinxAlveoConfig/build-bitstream.sh --cl_dir /home/anjelica/build_dir/platforms/xilinx_alveo_u280/cl_xilinx_alveo_u280-firesim-FireSim-FireSimRocketConfig-BaseXilinxAlveoConfig --frequency 60 --strategy TIMING --board au280
2024-06-09 15:59:42,894 [flush       ] [INFO ]  [localhost] out:
2024-06-09 15:59:42,894 [flush       ] [INFO ]  [localhost] out: ****** Vivado v2021.2 (64-bit)
2024-06-09 15:59:42,894 [flush       ] [INFO ]  [localhost] out:   **** SW Build 3367213 on Tue Oct 19 02:47:39 MDT 2021
2024-06-09 15:59:42,894 [flush       ] [INFO ]  [localhost] out:   **** IP Build 3369179 on Thu Oct 21 08:25:16 MDT 2021
2024-06-09 15:59:42,894 [flush       ] [INFO ]  [localhost] out:     ** Copyright 1986-2021 Xilinx, Inc. All Rights Reserved.
2024-06-09 15:59:42,894 [flush       ] [INFO ]  [localhost] out:
2024-06-09 15:59:49,287 [flush       ] [INFO ]  [localhost] out: source /home/anjelica/build_dir/platforms/xilinx_alveo_u280/cl_xilinx_alveo_u280-firesim-FireSim-FireSimRocketConfig-BaseXilinxAlveoConfig/scripts/main.tcl
2024-06-09 15:59:49,287 [flush       ] [INFO ]  [localhost] out: # set root_dir [pwd]
2024-06-09 15:59:49,288 [flush       ] [INFO ]  [localhost] out: # set vivado_version [version -short]
2024-06-09 15:59:49,288 [flush       ] [INFO ]  [localhost] out: # set ifrequency           [lindex $argv 0]
2024-06-09 15:59:49,288 [flush       ] [INFO ]  [localhost] out: # set istrategy            [lindex $argv 1]
2024-06-09 15:59:49,289 [flush       ] [INFO ]  [localhost] out: # set iboard               [lindex $argv 2]
2024-06-09 15:59:49,290 [flush       ] [INFO ]  [localhost] out: # proc retrieveVersionedFile {filename version} {
2024-06-09 15:59:49,290 [flush       ] [INFO ]  [localhost] out: #   set first [file rootname $filename]
2024-06-09 15:59:49,291 [flush       ] [INFO ]  [localhost] out: #   set last [file extension $filename]
2024-06-09 15:59:49,291 [flush       ] [INFO ]  [localhost] out: #   if {[file exists ${first}_${version}${last}]} {
2024-06-09 15:59:49,291 [flush       ] [INFO ]  [localhost] out: #     return ${first}_${version}${last}
2024-06-09 15:59:49,291 [flush       ] [INFO ]  [localhost] out: #   }
2024-06-09 15:59:49,291 [flush       ] [INFO ]  [localhost] out: #   return $filename
2024-06-09 15:59:49,291 [flush       ] [INFO ]  [localhost] out: # }
2024-06-09 15:59:49,292 [flush       ] [INFO ]  [localhost] out: # puts $vivado_version
2024-06-09 15:59:49,292 [flush       ] [INFO ]  [localhost] out: 2021.2
2024-06-09 15:59:49,292 [flush       ] [INFO ]  [localhost] out: # if {![file exists [set sourceFile [retrieveVersionedFile ${root_dir}/scripts/platform_env.tcl $vivado_version]]]} {
2024-06-09 15:59:49,292 [flush       ] [INFO ]  [localhost] out: #     puts "ERROR: could not find $sourceFile"
2024-06-09 15:59:49,292 [flush       ] [INFO ]  [localhost] out: #     exit 1
2024-06-09 15:59:49,293 [flush       ] [INFO ]  [localhost] out: # }
2024-06-09 15:59:49,293 [flush       ] [INFO ]  [localhost] out: # source $sourceFile
2024-06-09 15:59:49,293 [flush       ] [INFO ]  [localhost] out: ## set jobs 8
2024-06-09 15:59:49,293 [flush       ] [INFO ]  [localhost] out: # if {![file exists [set sourceFile [retrieveVersionedFile ${root_dir}/scripts/${iboard}.tcl $vivado_version]]]} {
2024-06-09 15:59:49,293 [flush       ] [INFO ]  [localhost] out: #     puts "ERROR: could not find $sourceFile"
2024-06-09 15:59:49,293 [flush       ] [INFO ]  [localhost] out: #     exit 1
2024-06-09 15:59:49,293 [flush       ] [INFO ]  [localhost] out: # }
2024-06-09 15:59:49,293 [flush       ] [INFO ]  [localhost] out: # source $sourceFile
2024-06-09 15:59:49,293 [flush       ] [INFO ]  [localhost] out: ## set part xcu280-fsvh2892-2L-e
2024-06-09 15:59:49,294 [flush       ] [INFO ]  [localhost] out: ## set board_part xilinx.com:au280:part0:1.2
2024-06-09 15:59:49,294 [flush       ] [INFO ]  [localhost] out: ## set zynq_family 0
2024-06-09 15:59:49,294 [flush       ] [INFO ]  [localhost] out: # foreach path [list ${root_dir}/vivado_proj/firesim.bit] {
2024-06-09 15:59:49,294 [flush       ] [INFO ]  [localhost] out: #     if {[file exists ${path}]} {
2024-06-09 15:59:49,294 [flush       ] [INFO ]  [localhost] out: #         file delete -force -- ${path}
2024-06-09 15:59:49,294 [flush       ] [INFO ]  [localhost] out: #     }
2024-06-09 15:59:49,294 [flush       ] [INFO ]  [localhost] out: # }
2024-06-09 15:59:49,294 [flush       ] [INFO ]  [localhost] out: # create_project -force firesim ${root_dir}/vivado_proj -part $part
2024-06-09 15:59:52,712 [flush       ] [INFO ]  [localhost] out: # set_property board_part $board_part [current_project]
2024-06-09 15:59:52,713 [flush       ] [INFO ]  [localhost] out: # foreach addFile [list ${root_dir}/design/axi_tieoff_master.v ${root_dir}/design/firesim_wrapper.v ${root_dir}/design/FireSim-generated.sv ${root_dir}/design/FireSim-generated.defines.vh] {
2024-06-09 15:59:52,713 [flush       ] [INFO ]  [localhost] out: #   set addFile [retrieveVersionedFile $addFile $vivado_version]
2024-06-09 15:59:52,713 [flush       ] [INFO ]  [localhost] out: #   if {![file exists $addFile]} {
2024-06-09 15:59:52,713 [flush       ] [INFO ]  [localhost] out: #     puts "ERROR: could not find file $addFile"
2024-06-09 15:59:52,714 [flush       ] [INFO ]  [localhost] out: #     exit 1
2024-06-09 15:59:52,714 [flush       ] [INFO ]  [localhost] out: #   }
2024-06-09 15:59:52,714 [flush       ] [INFO ]  [localhost] out: #   add_files $addFile
2024-06-09 15:59:52,714 [flush       ] [INFO ]  [localhost] out: #   if {[file extension $addFile] == ".vh"} {
2024-06-09 15:59:52,714 [flush       ] [INFO ]  [localhost] out: #     set_property IS_GLOBAL_INCLUDE 1 [get_files $addFile]
2024-06-09 15:59:52,714 [flush       ] [INFO ]  [localhost] out: #   }
2024-06-09 15:59:52,714 [flush       ] [INFO ]  [localhost] out: # }
2024-06-09 15:59:52,717 [flush       ] [INFO ]  [localhost] out: # set desired_host_frequency $ifrequency
2024-06-09 15:59:52,718 [flush       ] [INFO ]  [localhost] out: # set strategy $istrategy
2024-06-09 15:59:52,718 [flush       ] [INFO ]  [localhost] out: # if {![file exists [set sourceFile [retrieveVersionedFile ${root_dir}/scripts/create_bd_${vivado_version}.tcl $vivado_version]]]} {
2024-06-09 15:59:52,718 [flush       ] [INFO ]  [localhost] out: #   puts "ERROR: could not find $sourceFile"
2024-06-09 15:59:52,718 [flush       ] [INFO ]  [localhost] out: #   exit 1
2024-06-09 15:59:52,718 [flush       ] [INFO ]  [localhost] out: # }
2024-06-09 15:59:52,718 [flush       ] [INFO ]  [localhost] out: ERROR: could not find /home/anjelica/build_dir/platforms/xilinx_alveo_u280/cl_xilinx_alveo_u280-firesim-FireSim-FireSimRocketConfig-BaseXilinxAlveoConfig/scripts/create_bd_2021.2.tcl
2024-06-09 15:59:52,743 [flush       ] [INFO ]  [localhost] out: INFO: [Common 17-206] Exiting Vivado at Sun Jun  9 15:59:52 2024...
2024-06-09 16:00:02,890 [flush       ] [INFO ]  [localhost] out:
2024-06-09 16:00:02,892 [flush       ] [INFO ]  Warning: run() received nonzero return code 1 while executing '/home/anjelica/build_dir/platforms/xilinx_alveo_u280/cl_xilinx_alveo_u280-firesim-FireSim-FireSimRocketConfig-BaseXilinxAlveoConfig/build-bitstream.sh --cl_dir /home/anjelica/build_dir/platforms/xilinx_alveo_u280/cl_xilinx_alveo_u280-firesim-FireSim-FireSimRocketConfig-BaseXilinxAlveoConfig --frequency 60 --strategy TIMING --board au280'!
2024-06-09 16:00:02,892 [build_bitstr] [INFO ]  Printing error output:
2024-06-09 16:00:02,892 [build_bitstr] [INFO ]  ****** Vivado v2021.2 (64-bit)
2024-06-09 16:00:02,892 [build_bitstr] [INFO ]    **** SW Build 3367213 on Tue Oct 19 02:47:39 MDT 2021
2024-06-09 16:00:02,892 [build_bitstr] [INFO ]    **** IP Build 3369179 on Thu Oct 21 08:25:16 MDT 2021
2024-06-09 16:00:02,893 [build_bitstr] [INFO ]      ** Copyright 1986-2021 Xilinx, Inc. All Rights Reserved.
2024-06-09 16:00:02,893 [build_bitstr] [INFO ]  
2024-06-09 16:00:02,893 [build_bitstr] [INFO ]  source /home/anjelica/build_dir/platforms/xilinx_alveo_u280/cl_xilinx_alveo_u280-firesim-FireSim-FireSimRocketConfig-BaseXilinxAlveoConfig/scripts/main.tcl
2024-06-09 16:00:02,893 [build_bitstr] [INFO ]  # set root_dir [pwd]
2024-06-09 16:00:02,893 [build_bitstr] [INFO ]  # set vivado_version [version -short]
2024-06-09 16:00:02,893 [build_bitstr] [INFO ]  # set ifrequency           [lindex $argv 0]
2024-06-09 16:00:02,893 [build_bitstr] [INFO ]  # set istrategy            [lindex $argv 1]
2024-06-09 16:00:02,893 [build_bitstr] [INFO ]  # set iboard               [lindex $argv 2]
2024-06-09 16:00:02,893 [build_bitstr] [INFO ]  # proc retrieveVersionedFile {filename version} {
2024-06-09 16:00:02,893 [build_bitstr] [INFO ]  #   set first [file rootname $filename]
2024-06-09 16:00:02,893 [build_bitstr] [INFO ]  #   set last [file extension $filename]
2024-06-09 16:00:02,893 [build_bitstr] [INFO ]  #   if {[file exists ${first}_${version}${last}]} {
2024-06-09 16:00:02,893 [build_bitstr] [INFO ]  #     return ${first}_${version}${last}
2024-06-09 16:00:02,893 [build_bitstr] [INFO ]  #   }
2024-06-09 16:00:02,893 [build_bitstr] [INFO ]  #   return $filename
2024-06-09 16:00:02,894 [build_bitstr] [INFO ]  # }
2024-06-09 16:00:02,894 [build_bitstr] [INFO ]  # puts $vivado_version
2024-06-09 16:00:02,894 [build_bitstr] [INFO ]  2021.2
2024-06-09 16:00:02,894 [build_bitstr] [INFO ]  # if {![file exists [set sourceFile [retrieveVersionedFile ${root_dir}/scripts/platform_env.tcl $vivado_version]]]} {
2024-06-09 16:00:02,894 [build_bitstr] [INFO ]  #     puts "ERROR: could not find $sourceFile"
2024-06-09 16:00:02,894 [build_bitstr] [INFO ]  #     exit 1
2024-06-09 16:00:02,894 [build_bitstr] [INFO ]  # }
2024-06-09 16:00:02,894 [build_bitstr] [INFO ]  # source $sourceFile
2024-06-09 16:00:02,894 [build_bitstr] [INFO ]  ## set jobs 8
2024-06-09 16:00:02,894 [build_bitstr] [INFO ]  # if {![file exists [set sourceFile [retrieveVersionedFile ${root_dir}/scripts/${iboard}.tcl $vivado_version]]]} {
2024-06-09 16:00:02,894 [build_bitstr] [INFO ]  #     puts "ERROR: could not find $sourceFile"
2024-06-09 16:00:02,894 [build_bitstr] [INFO ]  #     exit 1
2024-06-09 16:00:02,894 [build_bitstr] [INFO ]  # }
2024-06-09 16:00:02,894 [build_bitstr] [INFO ]  # source $sourceFile
2024-06-09 16:00:02,895 [build_bitstr] [INFO ]  ## set part xcu280-fsvh2892-2L-e
2024-06-09 16:00:02,895 [build_bitstr] [INFO ]  ## set board_part xilinx.com:au280:part0:1.2
2024-06-09 16:00:02,895 [build_bitstr] [INFO ]  ## set zynq_family 0
2024-06-09 16:00:02,895 [build_bitstr] [INFO ]  # foreach path [list ${root_dir}/vivado_proj/firesim.bit] {
2024-06-09 16:00:02,895 [build_bitstr] [INFO ]  #     if {[file exists ${path}]} {
2024-06-09 16:00:02,895 [build_bitstr] [INFO ]  #         file delete -force -- ${path}
2024-06-09 16:00:02,895 [build_bitstr] [INFO ]  #     }
2024-06-09 16:00:02,895 [build_bitstr] [INFO ]  # }
2024-06-09 16:00:02,895 [build_bitstr] [INFO ]  # create_project -force firesim ${root_dir}/vivado_proj -part $part
2024-06-09 16:00:02,895 [build_bitstr] [INFO ]  # set_property board_part $board_part [current_project]
2024-06-09 16:00:02,895 [build_bitstr] [INFO ]  # foreach addFile [list ${root_dir}/design/axi_tieoff_master.v ${root_dir}/design/firesim_wrapper.v ${root_dir}/design/FireSim-generated.sv ${root_dir}/design/FireSim-generated.defines.vh] {
2024-06-09 16:00:02,895 [build_bitstr] [INFO ]  #   set addFile [retrieveVersionedFile $addFile $vivado_version]
2024-06-09 16:00:02,895 [build_bitstr] [INFO ]  #   if {![file exists $addFile]} {
2024-06-09 16:00:02,895 [build_bitstr] [INFO ]  #     puts "ERROR: could not find file $addFile"
2024-06-09 16:00:02,895 [build_bitstr] [INFO ]  #     exit 1
2024-06-09 16:00:02,896 [build_bitstr] [INFO ]  #   }
2024-06-09 16:00:02,896 [build_bitstr] [INFO ]  #   add_files $addFile
2024-06-09 16:00:02,896 [build_bitstr] [INFO ]  #   if {[file extension $addFile] == ".vh"} {
2024-06-09 16:00:02,896 [build_bitstr] [INFO ]  #     set_property IS_GLOBAL_INCLUDE 1 [get_files $addFile]
2024-06-09 16:00:02,896 [build_bitstr] [INFO ]  #   }
2024-06-09 16:00:02,896 [build_bitstr] [INFO ]  # }
2024-06-09 16:00:02,896 [build_bitstr] [INFO ]  # set desired_host_frequency $ifrequency
2024-06-09 16:00:02,896 [build_bitstr] [INFO ]  # set strategy $istrategy
2024-06-09 16:00:02,896 [build_bitstr] [INFO ]  # if {![file exists [set sourceFile [retrieveVersionedFile ${root_dir}/scripts/create_bd_${vivado_version}.tcl $vivado_version]]]} {
2024-06-09 16:00:02,896 [build_bitstr] [INFO ]  #   puts "ERROR: could not find $sourceFile"
2024-06-09 16:00:02,896 [build_bitstr] [INFO ]  #   exit 1
2024-06-09 16:00:02,896 [build_bitstr] [INFO ]  # }
2024-06-09 16:00:02,896 [build_bitstr] [INFO ]  ERROR: could not find /home/anjelica/build_dir/platforms/xilinx_alveo_u280/cl_xilinx_alveo_u280-firesim-FireSim-FireSimRocketConfig-BaseXilinxAlveoConfig/scripts/create_bd_2021.2.tcl
2024-06-09 16:00:02,896 [build_bitstr] [INFO ]  INFO: [Common 17-206] Exiting Vivado at Sun Jun  9 15:59:52 2024...
2024-06-09 16:00:02,897 [flush       ] [DEBUG]  [localhost] rsync_project: rsync  -pthrvz -l --rsh='ssh   -o StrictHostKeyChecking=no ' anjelica@localhost:/home/anjelica/build_dir/platforms/xilinx_alveo_u280/cl_xilinx_alveo_u280-firesim-FireSim-FireSimRocketConfig-BaseXilinxAlveoConfig /home/anjelica/firesim/deploy/results-build/2024-06-09--15-59-26-alveo_u280_firesim_rocket_singlecore_no_nic/
2024-06-09 16:00:02,897 [flush       ] [DEBUG]  [localhost] local: rsync  -pthrvz -l --rsh='ssh   -o StrictHostKeyChecking=no ' anjelica@localhost:/home/anjelica/build_dir/platforms/xilinx_alveo_u280/cl_xilinx_alveo_u280-firesim-FireSim-FireSimRocketConfig-BaseXilinxAlveoConfig /home/anjelica/firesim/deploy/results-build/2024-06-09--15-59-26-alveo_u280_firesim_rocket_singlecore_no_nic/
2024-06-09 16:00:03,703 [build_bitstr] [DEBUG]  receiving incremental file list
created directory /home/anjelica/firesim/deploy/results-build/2024-06-09--15-59-26-alveo_u280_firesim_rocket_singlecore_no_nic
cl_xilinx_alveo_u280-firesim-FireSim-FireSimRocketConfig-BaseXilinxAlveoConfig/
cl_xilinx_alveo_u280-firesim-FireSim-FireSimRocketConfig-BaseXilinxAlveoConfig/build-bitstream.sh
cl_xilinx_alveo_u280-firesim-FireSim-FireSimRocketConfig-BaseXilinxAlveoConfig/stamp
cl_xilinx_alveo_u280-firesim-FireSim-FireSimRocketConfig-BaseXilinxAlveoConfig/vivado.jou
cl_xilinx_alveo_u280-firesim-FireSim-FireSimRocketConfig-BaseXilinxAlveoConfig/vivado.log
cl_xilinx_alveo_u280-firesim-FireSim-FireSimRocketConfig-BaseXilinxAlveoConfig/.Xil/
cl_xilinx_alveo_u280-firesim-FireSim-FireSimRocketConfig-BaseXilinxAlveoConfig/design/
cl_xilinx_alveo_u280-firesim-FireSim-FireSimRocketConfig-BaseXilinxAlveoConfig/design/FireSim-generated.defines.vh
cl_xilinx_alveo_u280-firesim-FireSim-FireSimRocketConfig-BaseXilinxAlveoConfig/design/FireSim-generated.implementation.xdc
cl_xilinx_alveo_u280-firesim-FireSim-FireSimRocketConfig-BaseXilinxAlveoConfig/design/FireSim-generated.sv
cl_xilinx_alveo_u280-firesim-FireSim-FireSimRocketConfig-BaseXilinxAlveoConfig/design/FireSim-generated.synthesis.xdc
cl_xilinx_alveo_u280-firesim-FireSim-FireSimRocketConfig-BaseXilinxAlveoConfig/design/axi_tieoff_master.v
cl_xilinx_alveo_u280-firesim-FireSim-FireSimRocketConfig-BaseXilinxAlveoConfig/design/bitstream_config.xdc
cl_xilinx_alveo_u280-firesim-FireSim-FireSimRocketConfig-BaseXilinxAlveoConfig/design/firesim_wrapper.v
cl_xilinx_alveo_u280-firesim-FireSim-FireSimRocketConfig-BaseXilinxAlveoConfig/driver/
cl_xilinx_alveo_u280-firesim-FireSim-FireSimRocketConfig-BaseXilinxAlveoConfig/driver/FireSim-xilinx_alveo_u280
cl_xilinx_alveo_u280-firesim-FireSim-FireSimRocketConfig-BaseXilinxAlveoConfig/scripts/
cl_xilinx_alveo_u280-firesim-FireSim-FireSimRocketConfig-BaseXilinxAlveoConfig/scripts/au280.tcl
cl_xilinx_alveo_u280-firesim-FireSim-FireSimRocketConfig-BaseXilinxAlveoConfig/scripts/create_bd_2021.1.tcl
cl_xilinx_alveo_u280-firesim-FireSim-FireSimRocketConfig-BaseXilinxAlveoConfig/scripts/create_bd_2022.1.tcl
cl_xilinx_alveo_u280-firesim-FireSim-FireSimRocketConfig-BaseXilinxAlveoConfig/scripts/create_bd_2022.2.tcl
cl_xilinx_alveo_u280-firesim-FireSim-FireSimRocketConfig-BaseXilinxAlveoConfig/scripts/implementation_2021.1.tcl
cl_xilinx_alveo_u280-firesim-FireSim-FireSimRocketConfig-BaseXilinxAlveoConfig/scripts/implementation_2022.1.tcl
cl_xilinx_alveo_u280-firesim-FireSim-FireSimRocketConfig-BaseXilinxAlveoConfig/scripts/implementation_2022.2.tcl
cl_xilinx_alveo_u280-firesim-FireSim-FireSimRocketConfig-BaseXilinxAlveoConfig/scripts/main.tcl
cl_xilinx_alveo_u280-firesim-FireSim-FireSimRocketConfig-BaseXilinxAlveoConfig/scripts/platform_env.tcl
cl_xilinx_alveo_u280-firesim-FireSim-FireSimRocketConfig-BaseXilinxAlveoConfig/scripts/synthesis.tcl
cl_xilinx_alveo_u280-firesim-FireSim-FireSimRocketConfig-BaseXilinxAlveoConfig/vivado_proj/
cl_xilinx_alveo_u280-firesim-FireSim-FireSimRocketConfig-BaseXilinxAlveoConfig/vivado_proj/firesim.xpr
cl_xilinx_alveo_u280-firesim-FireSim-FireSimRocketConfig-BaseXilinxAlveoConfig/vivado_proj/firesim.cache/
cl_xilinx_alveo_u280-firesim-FireSim-FireSimRocketConfig-BaseXilinxAlveoConfig/vivado_proj/firesim.cache/wt/
cl_xilinx_alveo_u280-firesim-FireSim-FireSimRocketConfig-BaseXilinxAlveoConfig/vivado_proj/firesim.cache/wt/project.wpc
cl_xilinx_alveo_u280-firesim-FireSim-FireSimRocketConfig-BaseXilinxAlveoConfig/vivado_proj/firesim.hw/
cl_xilinx_alveo_u280-firesim-FireSim-FireSimRocketConfig-BaseXilinxAlveoConfig/vivado_proj/firesim.hw/firesim.lpr
cl_xilinx_alveo_u280-firesim-FireSim-FireSimRocketConfig-BaseXilinxAlveoConfig/vivado_proj/firesim.ip_user_files/

sent 555 bytes  received 4.24M bytes  2.83M bytes/sec
total size is 17.35M  speedup is 4.09
2024-06-09 16:00:03,703 [build_bitstr] [DEBUG]  
2024-06-09 16:00:03,704 [on_build_fai] [INFO ]  FireSim Xilinx Alveo xilinx_alveo_u280 FPGA Build Failed
2024-06-09 16:00:03,704 [on_build_fai] [INFO ]  Your FPGA build failed for quintuplet: xilinx_alveo_u280-firesim-FireSim-FireSimRocketConfig-BaseXilinxAlveoConfig
2024-06-09 16:00:03,724 [buildbitstre] [CRITI]  ERROR: A bitstream build failed.
2024-06-09 16:00:03,724 [<module>    ] [ERROR]  Fatal error.
Traceback (most recent call last):
  File "/home/anjelica/firesim/deploy/firesim", line 530, in <module>
    main(args)
  File "/home/anjelica/firesim/deploy/firesim", line 469, in main
    t['task'](t['config'](args))
  File "/home/anjelica/firesim/deploy/firesim", line 313, in buildbitstream
    sys.exit(1)
SystemExit: 1
2024-06-09 16:00:03,725 [<module>    ] [INFO ]  The full log of this run is:
/home/anjelica/firesim/deploy/logs/2024-06-09--15-59-26-buildbitstream-LYPEC6IVP6X63G15.log

Reply all
Reply to author
Forward
0 new messages