error

168 views
Skip to first unread message

Ashish Kumar

unread,
Mar 22, 2024, 4:52:24 PM3/22/24
to EDA Playground
[2024-03-22 20:48:38 UTC] vlib work && vlog '-timescale' '1ns/1ns' design.sv testbench.sv && vsim -c -do "vsim +access+r; run -all; exit"
VSIMSA: Configuration file changed: `/home/runner/library.cfg'
ALIB: Library "work" attached.
work = /home/runner/work/work.lib
MESSAGE "Pass 1. Scanning modules hierarchy."
ERROR VCP2000 "Syntax error. Unexpected token: ram_trans[_IDENTIFIER]." "ram_gen.sv" 2 10
ERROR VCP2961 "Unknown reference in type parameter T override." "ram_gen.sv" 4 21
ERROR VCP2961 "Unknown reference in type parameter T override." "ram_gen.sv" 5 21
ERROR VCP2000 "Syntax error. Unexpected token: new[__NEW]. This is a SystemVerilog keyword since IEEE Std 1800-2005 and cannot be used as an identifier. Use -v2k5, -v2k or -v95 argument for compilation." "ram_gen.sv" 6 13
ERROR VCP2000 "Syntax error. Unexpected token: (." "ram_gen.sv" 6 14
ERROR VCP2961 "Unknown reference in type parameter T override." "ram_gen.sv" 6 34
ERROR VCP2000 "Syntax error. Unexpected token: #." "ram_gen.sv" 6 51
ERROR VCP2020 "package...endpackage pair(s) mismatch detected. 1 <endpackage> tokens are missing." "ram_gen.sv" 26 9
ERROR VCP2000 "Syntax error. Unexpected token: endclass[_ENDCLASS]. This is a SystemVerilog keyword since IEEE Std 1800-2005 and cannot be used as an identifier. Use -v2k5, -v2k or -v95 argument for compilation." "ram_gen.sv" 26 9
ERROR VCP2961 "Unknown reference in type parameter T override." "ram_env.sv" 6 21
ERROR VCP2961 "Unknown reference in type parameter T override." "ram_env.sv" 7 21
ERROR VCP2961 "Unknown reference in type parameter T override." "ram_env.sv" 8 21
ERROR VCP2961 "Unknown reference in type parameter T override." "ram_env.sv" 9 21
ERROR VCP2961 "Unknown reference in type parameter T override." "ram_env.sv" 10 21
ERROR VCP2961 "Unknown reference in type parameter T override." "ram_env.sv" 11 21
ERROR VCP2000 "Syntax error. Unexpected token: ram_write_bfm[_IDENTIFIER]." "ram_env.sv" 13 14
ERROR VCP2000 "Syntax error. Unexpected token: new[__NEW]. This is a SystemVerilog keyword since IEEE Std 1800-2005 and cannot be used as an identifier. Use -v2k5, -v2k or -v95 argument for compilation." "ram_env.sv" 19 13
ERROR VCP2000 "Syntax error. Unexpected token: (." "ram_env.sv" 19 14
ERROR VCP2000 "Syntax error. Unexpected token: virtual[_VIRTUAL]. This is a SystemVerilog keyword since IEEE Std 1800-2005 and cannot be used as an identifier. Use -v2k5, -v2k or -v95 argument for compilation." "ram_env.sv" 20 8
ERROR VCP2000 "Syntax error. Unexpected token: virtual[_VIRTUAL]. This is a SystemVerilog keyword since IEEE Std 1800-2005 and cannot be used as an identifier. Use -v2k5, -v2k or -v95 argument for compilation." "ram_env.sv" 21 8
ERROR VCP2000 "Syntax error. Unexpected token: virtual[_VIRTUAL]. This is a SystemVerilog keyword since IEEE Std 1800-2005 and cannot be used as an identifier. Use -v2k5, -v2k or -v95 argument for compilation." "ram_env.sv" 22 8
ERROR VCP2000 "Syntax error. Unexpected token: )." "ram_env.sv" 22 32
ERROR VCP2000 "Syntax error. Unexpected token: endclass[_ENDCLASS]. This is a SystemVerilog keyword since IEEE Std 1800-2005 and cannot be used as an identifier. Use -v2k5, -v2k or -v95 argument for compilation." "ram_env.sv" 75 9
ERROR VCP2000 "Syntax error. Unexpected token: clocking[_CLOCKING]. This is a SystemVerilog keyword since IEEE Std 1800-2005 and cannot be used as an identifier. Use -v2k5, -v2k or -v95 argument for compilation." "ram_if.sv" 8 9
ERROR VCP2020 "package...endpackage pair(s) mismatch detected. 1 <endpackage> tokens are missing." "ram_gen.sv" 10 12
ERROR VCP2020 "class...endclass pair(s) mismatch detected. 1 <endclass> tokens are missing." "ram_gen.sv" 10 12
ERROR VCP2000 "Syntax error. Unexpected token: endfunction[_ENDFUNCTION]." "ram_gen.sv" 10 12
ERROR VCP2505 "Duplicate identifier: wr_if." "ram_write_bfm.sv" 2 29
ERROR VCP2000 "Syntax error. Unexpected token: $dumpfile[_SYSTEM_DUMPFILE]." "ram_model.sv" 22 14
ERROR VCP2000 "Syntax error. Unexpected token: task[_TASK]." "ram_model.sv" 24 5
ERROR VCP2000 "Syntax error. Unexpected token: mon_data2[_IDENTIFIER]." "ram_model.sv" 24 43
ERROR VCP2000 "Syntax error. Unexpected token: ram_trans[_IDENTIFIER]." "ram_sb.sv" 6 10
ERROR VCP2961 "Unknown reference in type parameter T override." "ram_sb.sv" 9 21
ERROR VCP2961 "Unknown reference in type parameter T override." "ram_sb.sv" 10 21
ERALOG: Warning: The source is compiled without the -dbg switch. Line breakpoints and assertion debug will not be available.

Configuration file changed: `/home/runner/library.cfg' is this normal for config file to be changed
too many errors how can i fix them once, suggesting one change at a time wont be of much help

EDA Playground

unread,
Mar 25, 2024, 6:12:04 AM3/25/24
to EDA Playground
One of the many great things about EDA Playground is that you can easily share code by sharing the URL of that code. This enables someone else to run your code and help you out. Please post the URL of your code.

EDA Playground

unread,
Mar 25, 2024, 6:14:46 AM3/25/24
to EDA Playground
I didn't notice your message at the bottom, because it was in the same format as the error message.

Often it is a good thing to get many many errors; often if you fix the first one, the other disappear because they are caused by the compiler being totally confused by the first one.

And there is a tip: always always always work on the first error first.
Reply all
Reply to author
Forward
0 new messages