Compilation Error for small uvm TB

16 views
Skip to first unread message

mah...@gmail.com

unread,
Aug 3, 2021, 11:51:42 AM8/3/21
to EDA Playground
Hi All,

I coded small UVM example, not able to include top.sv in a right way,  hence getting compilation issues. 


Please help me to fix compilation issue.

Thank You,
Mahesh

EDA Playground

unread,
Aug 9, 2021, 8:36:25 AM8/9/21
to EDA Playground
You need to `include any SV file for EDA Playground to be able to compile it. 

`include "tb_pkg1.sv"

Is missing from (eg) testbench.sv . Once I included that, there are other compilation errors to fix.

Matthew
Reply all
Reply to author
Forward
0 new messages