Error launching EPWave: [Could not parse file: $timescale not found in the header.]. Could not load './dump.vcd'

891 views
Skip to first unread message

Saravan Murthy

unread,
Jan 14, 2017, 6:38:55 PM1/14/17
to EDA Playground
I receive the following error using "Aldec Riviera Pro 2015.06".

I have included the following in my test bench, and Log message seems to output the following:  vlib work && vlog '-timescale' '1ns/1ns' '-sv2k9' design.sv testbench.sv && vsim -c -do "vsim +access+r; run -all; exit"
  initial
 begin
  // Dump waves
    $dumpfile("dump.vcd");
   $dumpvars;
 $display(" << Starting the Simulation >>");
 ...   
 $display(" << Simulation Complete >>");
 $finish;
// stop the simulation
 end

I was under the assumption that I didn't need the timescale. Even when I have included it, I can't seem to seem to see the wave and I am getting the following error message in the Log window. 

Stack pointer: 0x00007fe2202472f0
Local variable address: 0x00007fe22024770f
# KERNEL: Fatal Error: System exception occurred: SIGSEGV. Cannot continue.

I apologize for asking this question again if it has been covered. I can't seem to find the topic within the newsgroup.

I have made the "dencode.v" project public. 

I am trying to see the waves to debug the issue.

Thanks in Advance.

Saravan Murthy

unread,
Jan 15, 2017, 11:30:06 AM1/15/17
to EDA Playground
It seems to be when I try to declare a parameter array and use the array values as index into a bus;

i.e.

 parameter DILEN = 4;
parameter DOLEN = DILEN*2;
  parameter integer IDXMAP[0:3] = {0,2,4,6};
  parameter integer VALMAP[0:3] = {1,3,5,7};
wire [DILEN-1:0] dmapval = 'hF;
...

  always@(din)
    begin
      for(i=0; i < DILEN; i=i+1)
          begin
            dout[IDXMAP[i]] = din[i];
          end
    end
 
  always@(dmapval)
    begin
      for(j=0; j < DILEN; j=j+1)
          begin
            dout[VALMAP[j]] = dmapval[j];
          end
    end

EDA Playground

unread,
Jan 16, 2017, 3:41:17 PM1/16/17
to EDA Playground

Hi,

Please could you post the URL of the playground? (One of the great things about EDA Playground is that it is possible to easily share code by simply sharing the URL of a playground).

Matthew

Saravan Murthy

unread,
Feb 1, 2017, 6:57:06 PM2/1/17
to EDA Playground
Hello Matthew,

Thank for your response. 

I apologize for not posting the URL, I should have actually started with that. 

I will keep that in mind next time.

I also apologize for losing track of this thread.

But I did encounter this error again.

The ALDEC simulator, seems to compile fine when I use parameterized array in a verilog design. 

 But when I run a simulation, this stack error occurs:

Stack pointer: 0x00007fe2202472f0
Local variable address: 0x00007fe22024770f
# KERNEL: Fatal Error: System exception occurred: SIGSEGV. Cannot continue."

When I don't use a parameterized array, labeling each parameter separately.

Then seems to compile file.

Thanks Again.

On Saturday, 14 January 2017 18:38:55 UTC-5, Saravan Murthy wrote:
Reply all
Reply to author
Forward
0 new messages