No *.vcd file found. EPWave will not open. Did you use '$dumpfile("dump.vcd"); $dumpvars;'? Done

33 views
Skip to first unread message

Oviya.N

unread,
Jul 28, 2021, 2:31:12 AM7/28/21
to EDA Playground
I have included my dumpfile properly but it still shows this message to me. pls help me to resolve this.

I have attached my code here pls have a look . the code is for 4:1mux

EDA Playground

unread,
Jul 29, 2021, 3:43:12 PM7/29/21
to EDA Playground
The code at this URL is private.
Reply all
Reply to author
Forward
0 new messages