error: No .vcd file found

208 views
Skip to first unread message

Sanjana Bobde

unread,
Oct 20, 2020, 8:42:44 AM10/20/20
to EDA Playground
Hi,
I am trying to implement the VHDL code to read images using FPGA. I am facing an error.
Can someone help me out to solve this error.


Error:

[2020-10-20 08:39:17 EDT] vlib work && vlib accellera_ovl_vhdl && sed 's#${OVL_DIR}#'$OVL_DIR'#g' -i $OVL_DIR/all_ovl_vhdl_comps.f && vcom -work accellera_ovl_vhdl -f $OVL_DIR/all_ovl_vhdl_comps.f && vcom '-2008' '-o' design.vhd testbench.vhd && vsim -c -do "vsim tb_read_image_vhdl; vcd file dump.vcd; vcd add -r sim:/*; run 1000ns; exit"
VSIMSA: Configuration file changed: `/home/runner/library.cfg'
ALIB: Library "work" attached.
work = /home/runner/work/work.lib
ALIB: Library "accellera_ovl_vhdl" attached.
accellera_ovl_vhdl = /home/runner/accellera_ovl_vhdl/accellera_ovl_vhdl.lib
Aldec, Inc. VHDL Compiler, build 2020.04.130
VLM Initialized with path: "/home/runner/library.cfg".
DAGGEN WARNING DAGGEN_0523: "The source is compiled without the -dbg switch. Line breakpoints and assertion debug will not be available."
COMP96 File: /playground_lib/std_ovl_v2p8.1/std_ovl.vhd
COMP96 Compile Package "std_ovl"
COMP96 WARNING COMP96_0564: "Shared variable is not of a protected type. (IEEE 1076-2002, 4.3.1.3)" "/playground_lib/std_ovl_v2p8.1/std_ovl.vhd" 68 3
COMP96 File: /playground_lib/std_ovl_v2p8.1/std_ovl_procs.vhd
COMP96 Compile Package "std_ovl_procs"
COMP96 Compile Package Body "std_ovl_procs"
COMP96 File: /playground_lib/std_ovl_v2p8.1/std_ovl_clock_gating.vhd
COMP96 Compile Entity "std_ovl_clock_gating"
COMP96 Compile Architecture "rtl" of Entity "std_ovl_clock_gating"
COMP96 File: /playground_lib/std_ovl_v2p8.1/std_ovl_reset_gating.vhd
COMP96 Compile Entity "std_ovl_reset_gating"
COMP96 Compile Architecture "rtl" of Entity "std_ovl_reset_gating"
COMP96 File: /playground_lib/std_ovl_v2p8.1/std_ovl_components.vhd
COMP96 Compile Package "std_ovl_components"
COMP96 File: /playground_lib/std_ovl_v2p8.1/ovl_always.vhd
COMP96 Compile Entity "ovl_always"
COMP96 File: /playground_lib/std_ovl_v2p8.1/ovl_always_on_edge.vhd
COMP96 Compile Entity "ovl_always_on_edge"
COMP96 File: /playground_lib/std_ovl_v2p8.1/ovl_change.vhd
COMP96 Compile Entity "ovl_change"
COMP96 File: /playground_lib/std_ovl_v2p8.1/ovl_cycle_sequence.vhd
COMP96 Compile Entity "ovl_cycle_sequence"
COMP96 File: /playground_lib/std_ovl_v2p8.1/ovl_decrement.vhd
COMP96 Compile Entity "ovl_decrement"
COMP96 File: /playground_lib/std_ovl_v2p8.1/ovl_delta.vhd
COMP96 Compile Entity "ovl_delta"
COMP96 File: /playground_lib/std_ovl_v2p8.1/ovl_even_parity.vhd
COMP96 Compile Entity "ovl_even_parity"
COMP96 File: /playground_lib/std_ovl_v2p8.1/ovl_fifo_index.vhd
COMP96 Compile Entity "ovl_fifo_index"
COMP96 File: /playground_lib/std_ovl_v2p8.1/ovl_frame.vhd
COMP96 Compile Entity "ovl_frame"
COMP96 File: /playground_lib/std_ovl_v2p8.1/ovl_handshake.vhd
COMP96 Compile Entity "ovl_handshake"
COMP96 File: /playground_lib/std_ovl_v2p8.1/ovl_implication.vhd
COMP96 Compile Entity "ovl_implication"
COMP96 File: /playground_lib/std_ovl_v2p8.1/ovl_increment.vhd
COMP96 Compile Entity "ovl_increment"
COMP96 File: /playground_lib/std_ovl_v2p8.1/ovl_never.vhd
COMP96 Compile Entity "ovl_never"
COMP96 File: /playground_lib/std_ovl_v2p8.1/ovl_never_unknown.vhd
COMP96 Compile Entity "ovl_never_unknown"
COMP96 File: /playground_lib/std_ovl_v2p8.1/ovl_never_unknown_async.vhd
COMP96 Compile Entity "ovl_never_unknown_async"
COMP96 File: /playground_lib/std_ovl_v2p8.1/ovl_next.vhd
COMP96 Compile Entity "ovl_next"
COMP96 File: /playground_lib/std_ovl_v2p8.1/ovl_no_overflow.vhd
COMP96 Compile Entity "ovl_no_overflow"
COMP96 File: /playground_lib/std_ovl_v2p8.1/ovl_no_transition.vhd
COMP96 Compile Entity "ovl_no_transition"
COMP96 File: /playground_lib/std_ovl_v2p8.1/ovl_no_underflow.vhd
COMP96 Compile Entity "ovl_no_underflow"
COMP96 File: /playground_lib/std_ovl_v2p8.1/ovl_odd_parity.vhd
COMP96 Compile Entity "ovl_odd_parity"
COMP96 File: /playground_lib/std_ovl_v2p8.1/ovl_one_cold.vhd
COMP96 Compile Entity "ovl_one_cold"
COMP96 File: /playground_lib/std_ovl_v2p8.1/ovl_one_hot.vhd
COMP96 Compile Entity "ovl_one_hot"
COMP96 File: /playground_lib/std_ovl_v2p8.1/ovl_proposition.vhd
COMP96 Compile Entity "ovl_proposition"
COMP96 File: /playground_lib/std_ovl_v2p8.1/ovl_quiescent_state.vhd
COMP96 Compile Entity "ovl_quiescent_state"
COMP96 File: /playground_lib/std_ovl_v2p8.1/ovl_range.vhd
COMP96 Compile Entity "ovl_range"
COMP96 File: /playground_lib/std_ovl_v2p8.1/ovl_time.vhd
COMP96 Compile Entity "ovl_time"
COMP96 File: /playground_lib/std_ovl_v2p8.1/ovl_transition.vhd
COMP96 Compile Entity "ovl_transition"
COMP96 File: /playground_lib/std_ovl_v2p8.1/ovl_unchange.vhd
COMP96 Compile Entity "ovl_unchange"
COMP96 File: /playground_lib/std_ovl_v2p8.1/ovl_width.vhd
COMP96 Compile Entity "ovl_width"
COMP96 File: /playground_lib/std_ovl_v2p8.1/ovl_win_change.vhd
COMP96 Compile Entity "ovl_win_change"
COMP96 File: /playground_lib/std_ovl_v2p8.1/ovl_win_unchange.vhd
COMP96 Compile Entity "ovl_win_unchange"
COMP96 File: /playground_lib/std_ovl_v2p8.1/ovl_window.vhd
COMP96 Compile Entity "ovl_window"
COMP96 File: /playground_lib/std_ovl_v2p8.1/ovl_zero_one_hot.vhd
COMP96 Compile Entity "ovl_zero_one_hot"
COMP96 File: /playground_lib/std_ovl_v2p8.1/vhdl93/ovl_always_rtl.vhd
COMP96 Compile Architecture "rtl" of Entity "ovl_always"
COMP96 WARNING COMP96_0564: "Shared variable is not of a protected type. (IEEE 1076-2002, 4.3.1.3)" "/playground_lib/std_ovl_v2p8.1/vhdl93/ovl_always_rtl.vhd" 19 3
COMP96 File: /playground_lib/std_ovl_v2p8.1/vhdl93/ovl_cycle_sequence_rtl.vhd
COMP96 Compile Architecture "rtl" of Entity "ovl_cycle_sequence"
COMP96 WARNING COMP96_0564: "Shared variable is not of a protected type. (IEEE 1076-2002, 4.3.1.3)" "/playground_lib/std_ovl_v2p8.1/vhdl93/ovl_cycle_sequence_rtl.vhd" 27 3
COMP96 WARNING COMP96_0564: "Shared variable is not of a protected type. (IEEE 1076-2002, 4.3.1.3)" "/playground_lib/std_ovl_v2p8.1/vhdl93/ovl_cycle_sequence_rtl.vhd" 28 3
COMP96 File: /playground_lib/std_ovl_v2p8.1/vhdl93/ovl_implication_rtl.vhd
COMP96 Compile Architecture "rtl" of Entity "ovl_implication"
COMP96 WARNING COMP96_0564: "Shared variable is not of a protected type. (IEEE 1076-2002, 4.3.1.3)" "/playground_lib/std_ovl_v2p8.1/vhdl93/ovl_implication_rtl.vhd" 23 3
COMP96 WARNING COMP96_0564: "Shared variable is not of a protected type. (IEEE 1076-2002, 4.3.1.3)" "/playground_lib/std_ovl_v2p8.1/vhdl93/ovl_implication_rtl.vhd" 24 3
COMP96 File: /playground_lib/std_ovl_v2p8.1/vhdl93/ovl_never_rtl.vhd
COMP96 Compile Architecture "rtl" of Entity "ovl_never"
COMP96 WARNING COMP96_0564: "Shared variable is not of a protected type. (IEEE 1076-2002, 4.3.1.3)" "/playground_lib/std_ovl_v2p8.1/vhdl93/ovl_never_rtl.vhd" 19 3
COMP96 File: /playground_lib/std_ovl_v2p8.1/vhdl93/ovl_never_unknown_async_rtl.vhd
COMP96 Compile Architecture "rtl" of Entity "ovl_never_unknown_async"
COMP96 WARNING COMP96_0564: "Shared variable is not of a protected type. (IEEE 1076-2002, 4.3.1.3)" "/playground_lib/std_ovl_v2p8.1/vhdl93/ovl_never_unknown_async_rtl.vhd" 21 3
COMP96 File: /playground_lib/std_ovl_v2p8.1/vhdl93/ovl_never_unknown_rtl.vhd
COMP96 Compile Architecture "rtl" of Entity "ovl_never_unknown"
COMP96 WARNING COMP96_0564: "Shared variable is not of a protected type. (IEEE 1076-2002, 4.3.1.3)" "/playground_lib/std_ovl_v2p8.1/vhdl93/ovl_never_unknown_rtl.vhd" 24 3
COMP96 WARNING COMP96_0564: "Shared variable is not of a protected type. (IEEE 1076-2002, 4.3.1.3)" "/playground_lib/std_ovl_v2p8.1/vhdl93/ovl_never_unknown_rtl.vhd" 25 3
COMP96 File: /playground_lib/std_ovl_v2p8.1/vhdl93/ovl_next_rtl.vhd
COMP96 Compile Architecture "rtl" of Entity "ovl_next"
COMP96 WARNING COMP96_0564: "Shared variable is not of a protected type. (IEEE 1076-2002, 4.3.1.3)" "/playground_lib/std_ovl_v2p8.1/vhdl93/ovl_next_rtl.vhd" 26 3
COMP96 WARNING COMP96_0564: "Shared variable is not of a protected type. (IEEE 1076-2002, 4.3.1.3)" "/playground_lib/std_ovl_v2p8.1/vhdl93/ovl_next_rtl.vhd" 27 3
COMP96 File: /playground_lib/std_ovl_v2p8.1/vhdl93/ovl_one_hot_rtl.vhd
COMP96 Compile Architecture "rtl" of Entity "ovl_one_hot"
COMP96 WARNING COMP96_0564: "Shared variable is not of a protected type. (IEEE 1076-2002, 4.3.1.3)" "/playground_lib/std_ovl_v2p8.1/vhdl93/ovl_one_hot_rtl.vhd" 30 3
COMP96 WARNING COMP96_0564: "Shared variable is not of a protected type. (IEEE 1076-2002, 4.3.1.3)" "/playground_lib/std_ovl_v2p8.1/vhdl93/ovl_one_hot_rtl.vhd" 31 3
COMP96 File: /playground_lib/std_ovl_v2p8.1/vhdl93/ovl_range_rtl.vhd
COMP96 Compile Architecture "rtl" of Entity "ovl_range"
COMP96 WARNING COMP96_0564: "Shared variable is not of a protected type. (IEEE 1076-2002, 4.3.1.3)" "/playground_lib/std_ovl_v2p8.1/vhdl93/ovl_range_rtl.vhd" 25 3
COMP96 WARNING COMP96_0564: "Shared variable is not of a protected type. (IEEE 1076-2002, 4.3.1.3)" "/playground_lib/std_ovl_v2p8.1/vhdl93/ovl_range_rtl.vhd" 26 3
COMP96 File: /playground_lib/std_ovl_v2p8.1/vhdl93/ovl_zero_one_hot_rtl.vhd
COMP96 Compile Architecture "rtl" of Entity "ovl_zero_one_hot"
COMP96 WARNING COMP96_0564: "Shared variable is not of a protected type. (IEEE 1076-2002, 4.3.1.3)" "/playground_lib/std_ovl_v2p8.1/vhdl93/ovl_zero_one_hot_rtl.vhd" 30 3
COMP96 WARNING COMP96_0564: "Shared variable is not of a protected type. (IEEE 1076-2002, 4.3.1.3)" "/playground_lib/std_ovl_v2p8.1/vhdl93/ovl_zero_one_hot_rtl.vhd" 31 3
COMP96 Compile success 0 Errors 18 Warnings Analysis time : 0.2 [s]
Aldec, Inc. VHDL Compiler, build 2020.04.130
VLM Initialized with path: "/home/runner/library.cfg".
DAGGEN WARNING DAGGEN_0523: "The source is compiled without the -dbg switch. Line breakpoints and assertion debug will not be available."
COMP96 File: design.vhd
COMP96 Compile Entity "read_image_VHDL"
COMP96 Compile Architecture "behavioral" of Entity "read_image_VHDL"
COMP96 File: testbench.vhd
COMP96 Compile Entity "tb_read_image_vhdl"
COMP96 Compile Architecture "behavior" of Entity "tb_read_image_vhdl"
COMP96 Top-level unit(s) detected:
COMP96 Entity => tb_read_image_vhdl
COMP96 Compile success 0 Errors 0 Warnings Analysis time : 30.0 [ms]
# Aldec, Inc. Riviera-PRO version 2020.04.130.7729 built for Linux64 on June 10, 2020.
# HDL, SystemC, and Assertions simulator, debugger, and design environment.
# (c) 1999-2020 Aldec, Inc. All rights reserved.
# ELBREAD: Elaboration process.
# ELBREAD: Elaboration time 0.0 [s].
# KERNEL: Main thread initiated.
# KERNEL: Kernel process initialization phase.
# ELAB2: Elaboration final pass...
# ELAB2: Create instances ...
# KERNEL: Time resolution set to 1ps.
# RUNTIME: Fatal Error: RUNTIME_0048 design.vhd (36): Cannot open file "IMAGE_FILE.MIF"; no such file or directory.
# KERNEL: Time: 0 ps, Iteration: 0, TOP instance.
# ELAB2: Last instance before error: /tb_read_image_vhdl/uut
# KERNEL: Error: E8005 : Kernel process initialization failed.
# VSIM: Error: Simulation initialization failed.
Finding VCD file...
No *.vcd file found. EPWave will not open. Did you use '$dumpfile("dump.vcd"); $dumpvars;'?  

Thankyou,
Sanjana

Lee Noack

unread,
Oct 20, 2020, 7:47:39 PM10/20/20
to EDA Playground
Hi,

I think this line points out the error:  # RUNTIME: Fatal Error: RUNTIME_0048 design.vhd (36): Cannot open file "IMAGE_FILE.MIF"; no such file or directory.  Did you copy and paste the example data into a file and name it IMAGE_FILE.MIF and then add it to the design files?

HTH,
Lee.

Sanjana Bobde

unread,
Oct 22, 2020, 12:30:33 PM10/22/20
to EDA Playground
yes, I have copy pasted this program. What should I do to remove that error.

EDA Playground

unread,
Oct 23, 2020, 3:22:51 AM10/23/20
to EDA Playground
It's trying to load a file called IMAGE_FILE.MIF. EDA Playground tabs are files, so you need to find this file and upload it as a new tab. (Click on the plus sign to create a new tab.)

Matthew
Reply all
Reply to author
Forward
0 new messages