New Registration

173 views
Skip to first unread message

Ramarao Yenupuri

unread,
Feb 26, 2022, 2:20:13 AM2/26/22
to EDA Playground
Hi,

I am trying to create a account in edaplayground using gmail.
it is asking company or institution. but .i am not working any company to provide that 

Could you please help how to access this vcs or mentro graphic tools

Thanks,
Ramarao Yenupuri

EDA Playground

unread,
Feb 28, 2022, 1:51:07 PM2/28/22
to EDA Playground
You need some kind of email address like a company or university address to register for EDA Playground. 

If you don't have a company or university address, you can log into EDA Playground with a Google or Facebook account. This gives you access to the free simulators and to Aldec Riviera Pro, which is a full-featured commercial SystemVerilog/VHDL simulator, which supports UVM, OVL, OSVVM, UVVM and VHDL-2019.
Reply all
Reply to author
Forward
0 new messages