Enter code here...// Code your testbench here
// or browse Examples
`include "ocx_pkg.sv"
`include "driver.sv"
`include "envt.sv"
interface txintf;
logic rst_n,clk;
logic valid,error;
logic [511:0] data;
logic eop_ptr_6;
port ocx_out;
endinterface
module ocx_tx_tb;
txintf tx_if();
ocx_tx dut(tx_if.rst_n,tx_if.clk,tx_if.valid,tx_if.error,tx_if.data,tx_if.eop_ptr_6,tx_if.ocx_out);
ocx_tr ts (tx_if);
initial
begin
tx_if.rst_n=1;
#1 tx_if.rst_n=0;
tx_if.clk=0;
$monitor("clk=%d,rst_n=%d,sop=%d,valid=%d,eop=%d,error=%d,data=%d",tx_if.clk,tx_if.rst_n,tx_if.ocx_out.sop,tx_if.ocx_out.valid,tx_if.ocx_out.eop,tx_if.ocx_out.error,tx_if.ocx_out.data_8); $dumpfile("ocx_tx_tb.vpd");
$dumpvars(0,tx_if.clk,tx_if.rst_n,tx_if.ocx_out.sop,tx_if.valid,tx_if.ocx_out.eop,tx_if.error,tx_if.data);
#2000 $finish;
end
always #10 tx_if.clk=~tx_if.clk;
endmodule
program ocx_tr(txintf tx_if);
mailbox seq_drv;
initial
begin
environment env=new(tx_if);
env.build();
env.run();
end
endprogram
--
You received this message because you are subscribed to the Google Groups "EDA Playground" group.
To unsubscribe from this group and stop receiving emails from it, send an email to eda-playgroun...@googlegroups.com.
To view this discussion on the web visit https://groups.google.com/d/msgid/eda-playground/39d93989-06bf-4830-b10e-46ce53309e4e%40googlegroups.com.