Page reload problem

19,389 views
Skip to first unread message

EDA Playground

unread,
Jun 30, 2021, 6:35:34 AM6/30/21
to EDA Playground

Some error resulting in page reloads for some users when they click on run. I am looking into it. Sorry for the inconvenience.

If this is happening to you, restricting yourself to the Aldec Riviera Pro and the open source EDA tools seems to be a workaround.

taufeeq khan

unread,
Jul 12, 2021, 6:27:47 AM7/12/21
to EDA Playground
 Cannot open `include file "/tools/questa-/2020.3/questasim/ovm-2.1.2/../verilog_src/ovm-2.1.2/src/uvm_pkg.sv".
** Error: top.sv(2): Cannot open `include file "/tools/questa-/2020.3/questasim/ovm-2.1.2/../verilog_src/ovm-2.1.2/src/uvm_macros.svh".
** Error: top.sv(5): Cannot open `include file "/tools/questa-/2020.3/questasim/ovm-2.1.2/../verilog_src/ovm-2.1.2/src/intf.sv".
** Error: top.sv(6): Cannot open `include file "/tools/questa-/2020.3/questasim/ovm-2.1.2/../verilog_src/ovm-2.1.2/src/adder.sv".
** Error: ** while parsing file included at top.sv(7)
** at seqitem.sv(3): (qverilog-2163) Macro `uvm_object_utils is undefined.
** Error: (qverilog-13069) ** while parsing file included at top.sv(7)
** at seqitem.sv(3): near "(": syntax error, unexpected '(', expecting function or task or "SystemVerilog keyword 'pure'".
End time: 15:51:57 on Jul 12,2021, Elapsed time: 0:00:01
Errors: 6, Warnings: 0
I got some  error  during simulation time  please tell me  why is it giving a error

pooja shelge

unread,
Apr 10, 2022, 7:13:01 AM4/10/22
to EDA Playground
not able to save getting  HTTP Status 404 – Not Found

Type Status Report

Description The origin server did not find a current representation for the target resource or is not willing to disclose that one exists.

Apache Tomcat/9.0.31 (Ubuntu)
when it will be resolved


On Wednesday, June 30, 2021 at 4:05:34 PM UTC+5:30 EDA Playground wrote:

pooja shelge

unread,
Apr 10, 2022, 7:18:05 AM4/10/22
to EDA Playground
how it will be solved


On Wednesday, June 30, 2021 at 4:05:34 PM UTC+5:30 EDA Playground wrote:

EDA Playground

unread,
Apr 11, 2022, 4:05:13 AM4/11/22
to EDA Playground
I'm sorry this is happening. Is it still happening? 

Jay Shah

unread,
May 8, 2022, 11:34:29 PM5/8/22
to EDA Playground
Yes.

EDA Playground

unread,
May 9, 2022, 4:33:37 AM5/9/22
to EDA Playground
Could you please tell me exactly what you are doing to cause this error?

RAVI KANT

unread,
May 9, 2022, 4:36:39 AM5/9/22
to EDA Playground, EDA Playground
Hi,

To regenerate issue you can run following code

interface \Test.Interface #(parameter bit isSomething = 0) (input logic clock);

    logic value;

    modport Initiator(output value);

    modport Target(input value);

endinterface

 
class \Test.Agent #(bit isSomething = 0);
 

    local virtual \Test.Interface #(isSomething) \interface ;
 

    function new(virtual \Test.Interface #(isSomething) \interface );

        this.\interface = \interface ;

    endfunction


endclass

 

   module Test#() ( );

    localparam type bool = bit;

    localparam bool false = 0;

    localparam bool true = 1;
 

    logic clock = 0;

    always #5 clock = ~clock;


    // \Test.Interface #(.isSomething(true)) \interface (.clock(clock));

       \Test.Agent #(.isSomething(true)) agent = new(\interface );
         
         initial
           fork
           #100 $finish;
             $display("**** ***");
           join
 
endmodule


Regards
Ravikant

On Mon, May 9, 2022 at 2:03 PM EDA Playground <getedapl...@gmail.com> wrote:
Could you please tell me exactly what you are doing to cause this error?

--
You received this message because you are subscribed to the Google Groups "EDA Playground" group.
To unsubscribe from this group and stop receiving emails from it, send an email to eda-playgroun...@googlegroups.com.
To view this discussion on the web visit https://groups.google.com/d/msgid/eda-playground/35a2063b-0deb-4a3b-be8b-7cd164dd1f4cn%40googlegroups.com.

RAVI KANT

unread,
May 9, 2022, 6:00:04 AM5/9/22
to EDA Playground, EDA Playground
Hi,

Please uncomment interface instance otherwise you will get compile time error of undefine variable interface

Regards
Ravikant

Sandra Garcia

unread,
May 11, 2022, 12:50:40 PM5/11/22
to EDA Playground
Hello, I have a problem,  I run VHDL with aldec rivera it shows me the following error
Could not load the requested page

Error: com.victoreda.playground.PlaygroundException: Could not get wave dump or wave dump no longer available. Re-run the EDA Playground to get a fresh wave dump.

Error Message: Could not get wave dump or wave dump no longer available. Re-run the EDA Playground to get a fresh wave dump.

com.victoreda.playground.PlaygroundException: Could not get wave dump or wave dump no longer available. Re-run the EDA Playground to get a fresh wave dump. at com.victoreda.playground.controllers.HomeController.launchEpwave(HomeController.java:1228) at com.victoreda.playground.controllers.HomeController$$FastClassBySpringCGLIB$$df9fad65.invoke() at org.springframework.cglib.proxy.MethodProxy.invoke(MethodProxy.java:218) at org.springframework.aop.framework.CglibAopProxy$DynamicAdvisedInterceptor.intercept(CglibAopProxy.java:689) at com.victoreda.playground.controllers.HomeController$$EnhancerBySpringCGLIB$$a8f15f80.launchEpwave() at com.victoreda.playground.controllers.EmbedController.launchEpwave(EmbedController.java:139) at jdk.internal.reflect.GeneratedMethodAccessor403.invoke(Unknown Source) at java.base/jdk.internal.reflect.DelegatingMethodAccessorImpl.invoke(DelegatingMethodAccessorImpl.java:43) at java.base/java.lang.reflect.Method.invoke(Method.java:566) at org.springframework.web.method.support.InvocableHandlerMethod.doInvoke(InvocableHandlerMethod.java:205) at org.springframework.web.method.support.InvocableHandlerMethod.invokeForRequest(InvocableHandlerMethod.java:150) at org.springframework.web.servlet.mvc.method.annotation.ServletInvocableHandlerMethod.invokeAndHandle(ServletInvocableHandlerMethod.java:117) at org.springframework.web.servlet.mvc.method.annotation.RequestMappingHandlerAdapter.invokeHandlerMethod(RequestMappingHandlerAdapter.java:895) at org.springframework.web.servlet.mvc.method.annotation.RequestMappingHandlerAdapter.handleInternal(RequestMappingHandlerAdapter.java:808) at org.springframework.web.servlet.mvc.method.AbstractHandlerMethodAdapter.handle(AbstractHandlerMethodAdapter.java:87) at org.springframework.web.servlet.DispatcherServlet.doDispatch(DispatcherServlet.java:1067) at org.springframework.web.servlet.DispatcherServlet.doService(DispatcherServlet.java:963) at org.springframework.web.servlet.FrameworkServlet.processRequest(FrameworkServlet.java:1006) at

Reply all
Reply to author
Forward
0 new messages