I was hoping maybe it would be an obvious issue so I hadn't posted in full. Let me do all that now.
The block being instantiated is actually verilog, but here it is anyway:
module ila (
clk,
probe0,
probe1,
probe2,
probe3,
probe4,
probe5
);
input clk;
input [31 : 0] probe0;
input [31 : 0] probe1;
input [31 : 0] probe2;
input [31 : 0] probe3;
input [0 : 0] probe4;
input [0 : 0] probe5;
endmodule
And this is the full declaration of the instantiation, as well as the signal declarations being used:
PORT_0 :in unsigned(21 downto 0); (This is part of the port list of the calling block)
...
signal SIG_A : std_logic;
signal SIG_B : std_logic;
signal SIG_C : unsigned(8 downto 0);
signal SIG_D : unsigned(7 downto 0);
signal SIG_E : unsigned(21 downto 0);
signal SIG_F : std_logic;
signal SIG_G : std_logic;
...
ila_inst: entity work.ila(rtl)
port map
(
clk => CLOCK,
(line 330) probe0(31 downto 11) => std_logic_vector'(31 downto 11 => '0'),
(line 331) probe0(10 downto 10) => std_logic_vector'(10 downto 10 => SIG_A),
(line 332) probe0( 9 downto 9) => std_logic_vector'(9 downto 9 => SIG_B),
(line 333) probe0( 8 downto 0) => std_logic_vector(SIG_C),
(line 334) probe1(31 downto 8) => std_logic_vector'(31 downto 8 => '0'),
(line 335) probe1( 7 downto 0) => std_logic_vector(SIG_D),
(line 336) probe2(31 downto 22) => std_logic_vector'(31 downto 22 => '0'),
(line 337) probe2(21 downto 0) => std_logic_vector(SIG_E),
(line 338) probe3(31 downto 22) => std_logic_vector'(31 downto 22 => '0'),
(line 339) probe3(21 downto 0) => std_logic_vector(PORT_0),
(line 340) probe4 => SIG_F,
(line 341) probe5 => SIG_G
);
Below are the error messages.
Error (line 330): (vcom-1324) Range choice length is 21; length of expression of element association is 9.
Error (line 334): (vcom-1324) Range choice length is 24; length of expression of element association is 9.
Error (line 336): (vcom-1324) Range choice length is 10; length of expression of element association is 9.
Error (line 338): (vcom-1324) Range choice length is 10; length of expression of element association is 9.
The error messages seem to all be pointing at my attempts at zero-fill.