Google Groups no longer supports new Usenet posts or subscriptions. Historical content remains viewable.
Dismiss

GALs and VHDL

1,301 views
Skip to first unread message

silverdr

unread,
Jun 15, 2016, 11:41:35 AM6/15/16
to
Hello group (and please have understanding for a newbie in the subject).

I'd like to make use of GAL chips for a relatively simple logic I need to build. Nine inputs to five outputs, purely combinatorial, non-clocked. I wrote VHDL design and testbench and successfully tested it on edaplayground.com. Now, I'd like to synthesise it and then comes some questions:

- what software (preferably but not necessarily free as in speech and open-source) should I use for that? I have 16V8 and 20V8 chips from Lattice, Atmel and one or two more vendors (don't remember exactly now).

- are the synthesised files compatible across different vendors' chips?

- If there is a GAL (16V8 for example) which has eight inputs and eight Output Logic MacroCells, can some of those OLMCs/pins be configured and used as inputs too? Judging by the specs/datasheet "yes" but would like to confirm that.


So far I downloaded and installed the ispLEVER from Lattice, which is still available and supports "obsolete" devices like GALs. The problem is that when I try to do some synthesis using ispLEVER/PureVHDL/Synplify/ project I get output like:

*******
Starting: 'C:\ispLEVER_Classic2_0\ispcpld\bin\Synpwrap.exe -e r512vhdl -target ispGAL -pro '


Copyright (c) 1991-2010 Lattice Semiconductor Corporation, All rights reserved.
Version : 2.0.00.17.20.15

Done sucessfully with exit code 1.
Error output EDIF file c:/documents and settings/silverdr/my documents/sources/vhdl/r512/r512vhdl.edi
Error executing Synplicity VHDL/Verilog HDL Synthesizer with code 2

Done: failed with exit code: 0002.
*******

and am stuck at it.

I know there is a CUPL software available from Atmel, and it should be able to synthesise designs for GALs but I would prefer to stick to VHDL, which I assume is going to stay with me for some time to come. Therefore rewriting the design into CUPL is probably the last resort.

I'd be grateful for some clues/hints/pointers.

--
silverdr

rickman

unread,
Jun 15, 2016, 5:02:10 PM6/15/16
to
There should be a window with more information including the detail of
what error was found. I don't run the classic version of the Lattice
tools, but nose around the interface a bit and see if you can find the
report. In Diamond there is a "Design Summary" window where you can get
reports under "Process Reports" by clicking the tool name. Look for
something smilar.

--

Rick C

GaborSzakacs

unread,
Jun 16, 2016, 9:28:27 AM6/16/16
to
silverdr wrote:
> Hello group (and please have understanding for a newbie in the subject).
>
> I'd like to make use of GAL chips for a relatively simple logic I need to build. Nine inputs to five outputs, purely combinatorial, non-clocked. I wrote VHDL design and testbench and successfully tested it on edaplayground.com. Now, I'd like to synthesise it and then comes some questions:
>
> - what software (preferably but not necessarily free as in speech and open-source) should I use for that? I have 16V8 and 20V8 chips from Lattice, Atmel and one or two more vendors (don't remember exactly now).
>

It's been at about 20 years since I used these, so most of the software
I remember is long gone. Cypress used to have a free VHDL for PALs.

> - are the synthesised files compatible across different vendors' chips?
>

In the very old days there were PALs, one-time fuse-programmable devices
that came from multiple sources and used a standard JEDEC file format to
program. These were interchangeable from a code standpoint, although
your PAL programmer needed to know about the vendor.

Later Lattice came out with GALs and others copied them with devices
called PALCEs. Again the JEDEC files were similar. Most vendors had
software that would allow you to take a standard PAL JEDEC and convert
it to the GAL / PALCE format. Of course this reduces the flexibility
of the chip, since the original PALs had a fixed number of inputs and
outputs, as well as a fixed number of output registers.

> - If there is a GAL (16V8 for example) which has eight inputs and eight Output Logic MacroCells, can some of those OLMCs/pins be configured and used as inputs too? Judging by the specs/datasheet "yes" but would like to confirm that.
>

Any I/O pin on the GAL can be used as an input. This is different from
the old PALs where only the non-registered output pins had feedback from
the pin.

>
> So far I downloaded and installed the ispLEVER from Lattice, which is still available and supports "obsolete" devices like GALs. The problem is that when I try to do some synthesis using ispLEVER/PureVHDL/Synplify/ project I get output like:
>
> *******
> Starting: 'C:\ispLEVER_Classic2_0\ispcpld\bin\Synpwrap.exe -e r512vhdl -target ispGAL -pro '
>
>
> Copyright (c) 1991-2010 Lattice Semiconductor Corporation, All rights reserved.
> Version : 2.0.00.17.20.15
>
> Done sucessfully with exit code 1.
> Error output EDIF file c:/documents and settings/silverdr/my documents/sources/vhdl/r512/r512vhdl.edi
> Error executing Synplicity VHDL/Verilog HDL Synthesizer with code 2
>
> Done: failed with exit code: 0002.
> *******
>
> and am stuck at it.
>
> I know there is a CUPL software available from Atmel, and it should be able to synthesise designs for GALs but I would prefer to stick to VHDL, which I assume is going to stay with me for some time to come. Therefore rewriting the design into CUPL is probably the last resort.
>
> I'd be grateful for some clues/hints/pointers.
>

I don't remember using CUPL, although I have used similar languages like
Abel, MachXL, and PALASM. Xilinx ISE allows you to target a CPLD like
their XC9500-series, which have a PAL-like architecture. Then you can
synthesize your VHDL, "fit" the device and view the resulting equations
in Abel syntax. These equations are reduced to sum-of-products, so you
can easily see how many product terms are used. I suppose if you are
lucky, you can then throw those equations into the Atmel software and
target your GAL. Seems like a lot more work than you want, though.

--
Gabor

Thomas Stanka

unread,
Jun 16, 2016, 9:37:21 AM6/16/16
to
Am Mittwoch, 15. Juni 2016 17:41:35 UTC+2 schrieb silverdr:
> I'd like to make use of GAL chips for a relatively simple logic I need to build. Nine inputs to five outputs, purely combinatorial, non-clocked. I wrote VHDL design and testbench and successfully tested it on edaplayground.com. Now, I'd like to synthesise it and then comes some questions:
>
> - what software (preferably but not necessarily free as in speech and open-source) should I use for that? I have 16V8 and 20V8 chips from Lattice, Atmel and one or two more vendors (don't remember exactly now).
>
> - are the synthesised files compatible across different vendors' chips?

In usual meaning No.

Synthesis means usually you translate a v(hdl) description into a library dependend structural netlist containing only library primitives of the target library. The tool usually translate it first in a generic (target independent) netlist and converts than to a library dependen netlist but for the user the intermediate result is not accessible.

Especially the "free" (in terms of no cost) versions that are available from major FPGA vendors allow only the usage of dedicated libraries, while a full blown synthesizer license would usually allow to include self written libraries (and therefore the possibility eg to write out a netlist converted in NAND2, if you design a library containing only nand2 and Flipflop).


> Error output EDIF file c:/documents and settings/silverdr/my documents/sources/vhdl/r512/r512vhdl.edi
> Error executing Synplicity VHDL/Verilog HDL Synthesizer with code 2

This might be related to an error in your vhdl description. Impossible for me to guess why this error is generated.

KJ

unread,
Jun 16, 2016, 10:17:34 AM6/16/16
to
On Wednesday, June 15, 2016 at 11:41:35 AM UTC-4, silverdr wrote:
>
> - are the synthesised files compatible across different vendors' chips?
>
For PAL/GAL devices, the synthesis output file is a JEDEC file that is used to program the device. For those devices, the JEDEC files were not vendor specific.

Kevin Jennings

KJ

unread,
Jun 16, 2016, 10:20:18 AM6/16/16
to
On Thursday, June 16, 2016 at 9:37:21 AM UTC-4, Thomas Stanka wrote:
> Am Mittwoch, 15. Juni 2016 17:41:35 UTC+2 schrieb silverdr:
> >
> > - are the synthesised files compatible across different vendors' chips?
>
> In usual meaning No.
>
> Synthesis means usually you translate a v(hdl) description into a library
> dependend structural netlist containing only library primitives of the
> target library. The tool usually translate it first in a generic (target
> independent) netlist and converts than to a library dependen netlist but
> for the user the intermediate result is not accessible.
>

What you described isn't really the case with PAL/GAL devices that the OP was referencing. The output of synthesis for these devices is a JEDEC file which typically could be used to program the device regardless of the vendor.

Kevin Jennings

silverdr

unread,
Jun 16, 2016, 11:32:36 AM6/16/16
to
On Wednesday, 15 June 2016 23:02:10 UTC+2, rickman wrote:
> > So far I downloaded and installed the ispLEVER from Lattice, which is still available and supports "obsolete" devices like GALs. The problem is that when I try to do some synthesis using ispLEVER/PureVHDL/Synplify/ project I get output like:
> >
> > *******
> > Starting: 'C:\ispLEVER_Classic2_0\ispcpld\bin\Synpwrap.exe -e r512vhdl -target ispGAL -pro '
> >
> >
> > Copyright (c) 1991-2010 Lattice Semiconductor Corporation, All rights reserved.
> > Version : 2.0.00.17.20.15
> >
> > Done sucessfully with exit code 1.
> > Error output EDIF file c:/documents and settings/silverdr/my documents/sources/vhdl/r512/r512vhdl.edi
> > Error executing Synplicity VHDL/Verilog HDL Synthesizer with code 2
> >
> > Done: failed with exit code: 0002.
> > *******
> >
>
> There should be a window with more information including the detail of
> what error was found. I don't run the classic version of the Lattice
> tools, but nose around the interface a bit and see if you can find the
> report. In Diamond there is a "Design Summary" window where you can get
> reports under "Process Reports" by clicking the tool name. Look for
> something smilar.
>

Actually the above is the copy-paste from the only output (except dialog-box, which says even less) I can find.

rickman

unread,
Jun 16, 2016, 11:44:49 AM6/16/16
to
There will be a synthesis report somewhere. You just need to find it.
The exit error code tells you not so much. Your output above says it is
running Synplicity. Look for the design directories and sort the files
by date. The report will be one of the most recent.

Have you checked for licensing issues?

--

Rick C

silverdr

unread,
Jun 16, 2016, 11:45:01 AM6/16/16
to
On Thursday, 16 June 2016 15:28:27 UTC+2, Gabor Sz wrote:

> > - what software (preferably but not necessarily free as in speech and open-source) should I use for that? I have 16V8 and 20V8 chips from Lattice, Atmel and one or two more vendors (don't remember exactly now).
> >
>
> It's been at about 20 years since I used these, so most of the software
> I remember is long gone. Cypress used to have a free VHDL for PALs.

I tried also "Galaxy WARP 6.3 SP1" from Cypress. Here things go a lot better. It compiles and outputs a JEDEC file but this is for PAL with a most similar name/symbol. Not for GAL and I have no idea whether this file can be safely used. I am sure it doesn't take into account any GAL specific stuff.

> > - are the synthesised files compatible across different vendors' chips?
>
> In the very old days there were PALs, one-time fuse-programmable devices
> that came from multiple sources and used a standard JEDEC file format to
> program. These were interchangeable from a code standpoint, although
> your PAL programmer needed to know about the vendor.
>
> Later Lattice came out with GALs and others copied them with devices
> called PALCEs. Again the JEDEC files were similar. Most vendors had
> software that would allow you to take a standard PAL JEDEC and convert
> it to the GAL / PALCE format. Of course this reduces the flexibility
> of the chip, since the original PALs had a fixed number of inputs and
> outputs, as well as a fixed number of output registers.

I see - that's a trail I might have a look into if nothing else works. Well, I don't know if this one is going to work at all either. So far I don't have any tools for converting the PAL JEDEC (which I seem to have from the Cypress Galaxy WARP software) into GAL/PALCE format.

> > - If there is a GAL (16V8 for example) which has eight inputs and eight Output Logic MacroCells, can some of those OLMCs/pins be configured and used as inputs too? Judging by the specs/datasheet "yes" but would like to confirm that.
> >
>
> Any I/O pin on the GAL can be used as an input. This is different from
> the old PALs where only the non-registered output pins had feedback from
> the pin.

Thanks. That's more or less what I thought, yet - just to make sure - does that mean that on a GAL16V8 I could theoretically use fifteen inputs and have one output only? Or are there any other limitations, which would prevent that kind of use?

> > I know there is a CUPL software available from Atmel, and it should be able to synthesise designs for GALs but I would prefer to stick to VHDL, which I assume is going to stay with me for some time to come. Therefore rewriting the design into CUPL is probably the last resort.
> >
> I don't remember using CUPL, although I have used similar languages like
> Abel, MachXL, and PALASM. Xilinx ISE allows you to target a CPLD like
> their XC9500-series, which have a PAL-like architecture. Then you can
> synthesize your VHDL, "fit" the device and view the resulting equations
> in Abel syntax. These equations are reduced to sum-of-products, so you
> can easily see how many product terms are used. I suppose if you are
> lucky, you can then throw those equations into the Atmel software and
> target your GAL. Seems like a lot more work than you want, though.

Huh.. yes. I was kind of expecting that I can get the output for my specific chip, fiddling with VHDL only ;-)

silverdr

unread,
Jun 16, 2016, 12:01:22 PM6/16/16
to
On Thursday, 16 June 2016 15:37:21 UTC+2, Thomas Stanka wrote:

> > - are the synthesised files compatible across different vendors' chips?
>
> In usual meaning No.
>
> Synthesis means usually you translate a v(hdl) description into a library dependend structural netlist containing only library primitives of the target library. The tool usually translate it first in a generic (target independent) netlist and converts than to a library dependen netlist but for the user the intermediate result is not accessible.
>
> Especially the "free" (in terms of no cost) versions that are available from major FPGA vendors allow only the usage of dedicated libraries, while a full blown synthesizer license would usually allow to include self written libraries (and therefore the possibility eg to write out a netlist converted in NAND2, if you design a library containing only nand2 and Flipflop).

I am aware that if we talk about CPLDs/FPGAs, then those are not compatible, output files are not compatible and I'd possibly be lucky if VHDL source files were compatible without any adaptations ;-)

But I am not talking about CPLDs/FPGAs but "standardised" SPLDs, namely GALs. They seem to be compatible across different vendors. Might not be programmed the same way though. Which is what I ask about.

Because when I eventually get my designs synthesised somehow by /some/ software from /a/ vendor, I'd like to use the chips I have from different manufacturers. Obviously it wouldn't be much of an issue if the making the software work and output something usable wasn't such a PITA as I experienced so far.

> This might be related to an error in your vhdl description. Impossible for me to guess why this error is generated.

We're in the same boat. I can post the vhdl if that helps - it's almost a kind of "Hello, world!" though and both edaplayground and Cypress Galaxy don't complain

-- Design for RL512
library IEEE;
use IEEE.std_logic_1164.all;

entity RL512 is port(
kl : in std_logic;
ksw1 : in std_logic;
ksw0 : in std_logic;
cm : in std_logic;
csw1 : in std_logic;
csw0 : in std_logic;
bc : in std_logic;
bsw0 : in std_logic;
a12m : in std_logic;
oe : out std_logic;
a15 : out std_logic;
a14 : out std_logic;
a13 : out std_logic;
a12 : out std_logic);

--attribute pin_numbers of RL512:entity is
-- "kl:2 ksw1:3 ksw0:4 cm:5 csw1:6 csw0:7 bc:8 bsw0:9 a12m:18 "
-- & "oe:19 a15:15 a14:14 a13:13 a12:12";

end RL512;

architecture rl512_behavioral of RL512 is
begin
oe <= kl and cm and bc;

a15 <= not kl when ((kl = '0') or (cm = '0') or (bc = '0')) else
'Z';

a14 <= ksw1 when kl = '0' else
'1' when cm = '0' else
'0' when bc = '0' else
'Z';

a13 <= ksw0 when kl = '0' else
csw1 when cm = '0' else
bsw0 when bc = '0' else
'Z';

a12 <= a12m when kl ='0' else
csw0 when cm = '0' else
a12m when bc = '0' else
'Z';
end rl512_behavioral;

silverdr

unread,
Jun 16, 2016, 12:06:46 PM6/16/16
to
Thanks! That's the only good news so far :-) So theoretically I should be able to use the PAL output from Cypress Galaxy WARP (which is the only one that produced something so far), somehow (yeah..) convert it into another form of JEDEC file in a GAL format and then use to program all the GALs of one main type I have (like all 16V8 in my case here), right?

silverdr

unread,
Jun 16, 2016, 12:16:55 PM6/16/16
to
On Thursday, 16 June 2016 17:44:49 UTC+2, rickman wrote:

>
> There will be a synthesis report somewhere. You just need to find it.
> The exit error code tells you not so much. Your output above says it is
> running Synplicity. Look for the design directories and sort the files
> by date. The report will be one of the most recent.

The most recent is the automake.log, which contains what I quoted. It's followed by .TCL and .PRJ and .JID files, which don't seem to contain anything useful in the context. Unless it throws that report somewhere into a darkest corner of the harddrive, I don't see anything else.

> Have you checked for licensing issues?

Maybe I don't know how but I haven't noticed anything about licensing. I can view the EULA (from 2012 - not so old) and nothing complains about licensing.

KJ

unread,
Jun 16, 2016, 12:47:20 PM6/16/16
to
On Thursday, June 16, 2016 at 12:06:46 PM UTC-4, silverdr wrote:
> > For PAL/GAL devices, the synthesis output file is a JEDEC file that is
> > used to program the device. For those devices, the JEDEC files were not
> > vendor specific.
>
> Thanks! That's the only good news so far :-) So theoretically I should be
> able to use the PAL output from Cypress Galaxy WARP (which is the only one
> that produced something so far), somehow (yeah..) convert it into another
> form of JEDEC file in a GAL format and then use to program all the GALs of
> one main type I have (like all 16V8 in my case here), right?

I don't know that you can take the JEDEC file of one device and use it on a different one (i.e. 16R8 JEDEC file using on a 16V8). What I said was that you can take a JEDEC file and use it with any manufacturer's same device (i.e. Cypress 16V8 using on a Lattice 16V8).

Having said that though, it might be that you can take a 16R8 and use it to program a 16V8. When the GAL devices were first coming out in the 80s there were lots of manufacturers of SPLDs and competition. I wouldn't consider it to much of a stretch to think that Lattice V8 did accept a JEDEC file that originally was intended for an MMI 16R8 since it would allow them to grab market share even more easily. I just remember if that was the case or not. The parts are very similar after all.

Kevin Jennings

rickman

unread,
Jun 16, 2016, 1:06:04 PM6/16/16
to
I don't know for sure, but Synplicity should need a license to run. I
seem to recall when my license expires I get an exit error of 2. Check
the Lattice web site to see what they say about licensing this tool.

--

Rick C

silverdr

unread,
Jun 16, 2016, 5:37:27 PM6/16/16
to
On Thursday, 16 June 2016 18:47:20 UTC+2, KJ wrote:
> > Thanks! That's the only good news so far :-) So theoretically I should be
> > able to use the PAL output from Cypress Galaxy WARP (which is the only one
> > that produced something so far), somehow (yeah..) convert it into another
> > form of JEDEC file in a GAL format and then use to program all the GALs of
> > one main type I have (like all 16V8 in my case here), right?
>
> I don't know that you can take the JEDEC file of one device and use it on a different one (i.e. 16R8 JEDEC file using on a 16V8). What I said was that you can take a JEDEC file and use it with any manufacturer's same device (i.e. Cypress 16V8 using on a Lattice 16V8).

That's what I mean. I have chips from different manufacturers but all are of the same type designation 16V8 and 20V8. But the only, theoretically possible path now is through Cypress tools, which generate some PAL type of JEDEC file. If I can convert it (somehow) to GAL16V8 type JEDEC file then this JEDEC file should work with all GAL16V8s I have, correct?

> Having said that though, it might be that you can take a 16R8 and use it to program a 16V8. When the GAL devices were first coming out in the 80s there were lots of manufacturers of SPLDs and competition. I wouldn't consider it to much of a stretch to think that Lattice V8 did accept a JEDEC file that originally was intended for an MMI 16R8 since it would allow them to grab market share even more easily. I just remember if that was the case or not. The parts are very similar after all.

Hm, interesting. Pardon my ignorance but what are the chances of damaging/"bricking" the chip when feeding the programmer with a wrong JEDEC file?

silverdr

unread,
Jun 16, 2016, 5:45:03 PM6/16/16
to
On Thursday, 16 June 2016 19:06:04 UTC+2, rickman wrote:

> >> Have you checked for licensing issues?
> >
> > Maybe I don't know how but I haven't noticed anything about licensing. I can view the EULA (from 2012 - not so old) and nothing complains about licensing.
>
> I don't know for sure, but Synplicity should need a license to run. I
> seem to recall when my license expires I get an exit error of 2. Check
> the Lattice web site to see what they say about licensing this tool.

I just tried something else - instead of trying to compile VHDL, for testing purposes I entered a simple schematic of two gates and went that route. Seems that I can get through the process up to a JEDEC file. So it hopefully means that Synplify is properly licensed. Unless I miss something here.

silverdr

unread,
Jun 16, 2016, 5:48:07 PM6/16/16
to
On Thursday, 16 June 2016 19:06:04 UTC+2, rickman wrote:

> I don't know for sure, but Synplicity should need a license to run. I
> seem to recall when my license expires I get an exit error of 2. Check
> the Lattice web site to see what they say about licensing this tool.

I'll have a look anyway.

rickman

unread,
Jun 16, 2016, 6:06:07 PM6/16/16
to
How does schematic work? Do they produce an EDIF file from that which
Synplicity processes?

--

Rick C

silverdr

unread,
Jun 20, 2016, 1:09:24 PM6/20/16
to
Tried and re-licenced the software - the licence.dat contains all the packages, including Syn stuff. So this shouldn't (at least theoretically) be the cause.

rickman

unread,
Jun 20, 2016, 1:14:27 PM6/20/16
to
If the tool has a button for firing up the simulator without doing a
compile (the Diamond and iCE40 tools do) see if you can bring up the
GUI. If you can, the license is good.

--

Rick C

silverdr

unread,
Jun 20, 2016, 1:16:29 PM6/20/16
to
To be frank - I've got no idea. But the flow presented by the software seem different.

> Do they produce an EDIF file from that which
> Synplicity processes?

I can't find any .EDI file in the project directory so either they don't or they delete it after use. Probably the former. Yet my VHDL project didn't create any either - due to the error..

silverdr

unread,
Jun 20, 2016, 1:27:25 PM6/20/16
to
On Monday, 20 June 2016 19:16:29 UTC+2, silverdr wrote:

> > How does schematic work?
>
> To be frank - I've got no idea. But the flow presented by the software seem different.

My "Pure VHDL" project

https://dl.dropboxusercontent.com/u/58002657/r512_purevhdl.png

Schematic based project.

https://dl.dropboxusercontent.com/u/58002657/r512_schematicvhdl.png

silverdr

unread,
Jun 20, 2016, 1:31:52 PM6/20/16
to
On Monday, 20 June 2016 19:14:27 UTC+2, rickman wrote:

> > Tried and re-licenced the software - the licence.dat contains all the packages, including Syn stuff. So this shouldn't (at least theoretically) be the cause.
>
> If the tool has a button for firing up the simulator without doing a
> compile (the Diamond and iCE40 tools do) see if you can bring up the
> GUI. If you can, the license is good.

The closest I can think of are the menu entries named "Waveform editor" and "Waveform viewer". Both pop up normally.

rickman

unread,
Jun 20, 2016, 2:21:41 PM6/20/16
to
Sorry, I should have said "synthesis", not simulator. They are separate
with separate licenses. All the tools I use let you fire up the
synthesis software separate from the Lattice GUI.

--

Rick C

alan...@gmail.com

unread,
May 23, 2018, 1:34:08 PM5/23/18
to
I have the same error, but, I'm using the GAL 22V10D, What would be the solution.
I've tried it with other forms and it works, but with this one. I'm programming with "CASE-WHEN" and I can't resolve my error.... I'm stressed...

abner...@ieee.org

unread,
Jun 12, 2018, 11:02:53 PM6/12/18
to
I have the same problem... when I do Synthesize there appears the next error:
Error output EDIF file c:/isplever_classic2_0/examples/ESumRes.edi
Error executing Synplicity VHDL/Verilog HDL Synthesizer with code 2

...
How did you fix it?

silverdr

unread,
Sep 1, 2019, 12:12:53 PM9/1/19
to
On 2018-06-13 03:02:50 +0000, abner...@ieee.org said:

> On Wednesday, June 15, 2016 at 9:41:35 AM UTC-6, silverdr wrote:
>> So far I downloaded and installed the ispLEVER from Lattice, which is
>> still available and supports "obsolete" devices like GALs. The problem
>> is that when I try to do some synthesis using
>> ispLEVER/PureVHDL/Synplify/ project I get output like:
>>
>> *******
>> Starting: 'C:\ispLEVER_Classic2_0\ispcpld\bin\Synpwrap.exe -e r512vhdl
>> -target ispGAL -pro '
>>
>>
>> Copyright (c) 1991-2010 Lattice Semiconductor Corporation, All rights
>> reserved.
>> Version : 2.0.00.17.20.15
>>
>> Done sucessfully with exit code 1.
>> Error output EDIF file c:/documents and settings/silverdr/my
>> documents/sources/vhdl/r512/r512vhdl.edi
>> Error executing Synplicity VHDL/Verilog HDL Synthesizer with code 2
>>
>> Done: failed with exit code: 0002.
>> *******
>>
>
> I have the same problem... when I do Synthesize there appears the next error:
> Error output EDIF file c:/isplever_classic2_0/examples/ESumRes.edi
> Error executing Synplicity VHDL/Verilog HDL Synthesizer with code 2
>
> ...
> How did you fix it?

After spending indecent amount of time trying to troubleshoot the
problem, insrtalling, reinstalling, and exchanging emails with friendly
people from Lattice, I worked the issue around by running the software
in question in a Wine based Windows emulation. As strange as it may
sound, that was the best option for me but below is a summary of my
"research" on the subject:

- Windows XP Home SP2 (fresh install) – no luck
- Windows XP Pro SP3 (fresh install and available updates) – no luck
- Wine based Windows XP emulation – runs (sic!)
- Windows 10 Pro 64 bit installed from scratch in a virtual machine – runs
- Windows 10 Pro 32 bit installed from scratch in a virtual machine - runs

Looks like there's some kind of problem with "native" Windows XP support.

Diego Moimas

unread,
Jul 24, 2022, 5:50:26 AM7/24/22
to
Hi,

after facing same problem, I got it working in XP by installing: .NET Framework 3.5SP1, .NET Framework 4.0, VCredist x86 from 2003 to 2012

Regards
D
0 new messages