Google Groups no longer supports new Usenet posts or subscriptions. Historical content remains viewable.
Dismiss

Do unpacked arrays parse in the current icarus verilog?

112 views
Skip to first unread message

Johann Klammer

unread,
Apr 3, 2021, 10:48:17 AM4/3/21
to
I'd like to know if it's worth updating the iverilog
(I'd have to recompile the whole thing coz local changes),
so I thought I'd ask here first.

test.v:

module hh ( input [2:0] a [0:3], output b );

endmodule


compile with

iverilog -g2012 ./test.v

I get:
./test.v:1: assert: elaborate.cc:6410: failed assertion netnet->pin_count()==1
sh: line 1: 25901 Done /usr/lib/ivl/ivlpp -L -F"/tmp/ivrlg2140bed4d" -f"/tmp/ivrlg140bed4d" -p"/tmp/ivrli140bed4d"
25902 Aborted | /usr/lib/ivl/ivl -C"/tmp/ivrlh140bed4d" -C"/usr/lib/ivl/vvp.conf" -- -

local version is:
Icarus Verilog version 11.0 (devel) (dc189fe-dirty)

Does this work ok on a more current version?

Johann Klammer

unread,
Apr 4, 2021, 3:07:43 AM4/4/21
to
Just did a merge & recompile...

0 new messages