Google Groups no longer supports new Usenet posts or subscriptions. Historical content remains viewable.
Dismiss

Accessing DUT internal signals in a SystemVerilog testbench

578 views
Skip to first unread message

okhajut

unread,
Feb 20, 2022, 5:47:38 PM2/20/22
to
VHDL-2008 adds external names to allow hierarchical access to objects that were hidden by scoping rules of previous version of VHDL. This can only be used in testbench code and not synthesis code.

Does SystemVerilog offer a method whereby the user can access signals deep inside the DUT hierarchy to aid in testbench simulation?

TJ Edmister

unread,
Feb 28, 2022, 3:36:55 AM2/28/22
to
Icarus Verilog allows testbench code to refer to internal variables of a
module, with a syntax like instancename.variablename

...if that's what you mean

Lyba ashraf

unread,
Mar 20, 2022, 8:40:10 AM3/20/22
to
So this applies only to a specific simulator then?

Gabor

unread,
Mar 21, 2022, 8:31:58 PM3/21/22
to
No. It's been part of Verilog for a long time. In fact it's one reason
many people have used Verilog as a test bench language even when their
hardware is coded in VHDL.

--
Gabor

Anssi Saari

unread,
Mar 22, 2022, 10:47:55 AM3/22/22
to
Gabor <nos...@nospam.com> writes:

> No. It's been part of Verilog for a long time. In fact it's one
> reason many people have used Verilog as a test bench language even
> when their hardware is coded in VHDL.

Yes, I remember using hierarchical access back in the 90s in Verilog.

Even with VHDL, it was added in VHDL 2008, 14 years ago. Implementation
in simulators is probably less recent since implementing VHDL 2008 has
been rather slow.


0 new messages