Naming of modules

18 views
Skip to first unread message

Saltuk Akgül

unread,
Jan 3, 2024, 3:21:58 AMJan 3
to chisel-users
Hi everyone,
I want to fix names of my modules. Not instance names but module names and generated verilog file names. As suggested in the website (https://www.chisel-lang.org/docs/cookbooks/naming), I overwrite desiredName of a Queue. However, Queue generates another module and verilog file named ram_combMem_*. Is there a way to fix all module names under a module using a prefix or something?

Regards,
Saltuk


Reply all
Reply to author
Forward
0 new messages