How to use floating point & fixed point

31 views
Skip to first unread message

Nick Gian

unread,
Feb 16, 2024, 12:29:45 AMFeb 16
to chisel-users
Hello Group,

I want to use floating point & fixed point for my project. Is already build in ? if yes how to use it? if not what i need to do?

Martoni

unread,
Feb 20, 2024, 3:23:34 AMFeb 20
to chisel-users
Hi Giannop,

As far as I know, floating & fixed point are not integrated into chisel.

Maybe you should take a look at Berkeley Hardfloat project :

https://github.com/sifive/berkeley-hardfloat-chisel3

FabienM

Nick Gian

unread,
Feb 23, 2024, 6:17:10 AMFeb 23
to chisel-users
Hello,

Thanks for your anwser is any where an example using the Hardfloat and fixedpoint from berkeley?

Nick Gian

unread,
Feb 27, 2024, 1:27:06 AMFeb 27
to chisel-users
I have run the generating stuff but i want to run a chisel test. Can you help me how to do it? because is not include test library dependencies

Nick Gian

unread,
Feb 27, 2024, 1:53:17 AMFeb 27
to chisel-users

I have run the generating stuff but i want to run a chisel test in hardfloat. Can you help me how to do it? because is not include test library dependencies
Reply all
Reply to author
Forward
0 new messages