Error when run make=CONFIG=GCDAXI4BlackBoxRocketConfig debug

49 views
Skip to first unread message

Thế Huy

unread,
Apr 26, 2023, 9:35:16 PMApr 26
to chisel-users
hello everyone,  i want to adding my own Verilog in Chipyard, and implemented the correct stéps in Chipyard document 1.9.0, and when i run: “make=CONFIG=GCDAXI4BlackBoxRocketConfig debug” in sims/verilator directory, i got errors:                               
[error] chisel3.package$ExpectedChiselTypeException: wire type 'GCDAXI4.in.bits.extra: Wire[BundleMap]' must be a Chisel type, not hardware
[error] at chisel3.internal.requireIsChiselType$.apply(Binding.scala:34)
[error] at chisel3.WireFactory.apply(Data.scala:987)
[error] at chisel3.WireFactory.apply$(Data.scala:985)
[error] at chisel3.Wire$.apply(Data.scala:1022)
[error] at freechips.rocketchip.amba.axi4.AXI4RegisterNode.$anonfun$regmap$16(RegisterRouter.scala:42)
[error] at chisel3.internal.prefix$.apply(prefix.scala:48)
[error] at freechips.rocketchip.amba.axi4.AXI4RegisterNode.$anonfun$regmap$15(RegisterRouter.scala:42)
[error] at chisel3.internal.plugin.package$.autoNameRecursively(package.scala:33)
[error] at freechips.rocketchip.amba.axi4.AXI4RegisterNode.regmap(RegisterRouter.scala:42)
[error] at freechips.rocketchip.amba.axi4.AXI4RegModule.regmap(RegisterRouter.scala:114)
[error] at chipyard.example.GCDModule.$init$(GCD.scala:138)
[error] at chipyard.example.GCDAXI4$$anonfun$4$$anon$4.<init>(GCD.scala:156)
[error] at chipyard.example.GCDAXI4$$anonfun$4.apply(GCD.scala:156)
[error] at chipyard.example.GCDAXI4$$anonfun$4.apply(GCD.scala:156)
[error] at freechips.rocketchip.amba.axi4.AXI4RegisterRouter.$anonfun$module$1(RegisterRouter.scala:127)
[error] at chisel3.internal.plugin.package$.autoNameRecursively(package.scala:33)
[error] at freechips.rocketchip.amba.axi4.AXI4RegisterRouter.module$lzycompute(RegisterRouter.scala:127)
[error] at freechips.rocketchip.amba.axi4.AXI4RegisterRouter.module(RegisterRouter.scala:127)
[error] at freechips.rocketchip.amba.axi4.AXI4RegisterRouter.module(RegisterRouter.scala:118)
[error] at freechips.rocketchip.diplomacy.LazyModuleImpLike.$anonfun$instantiate$15(LazyModule.scala:334)
[error] at chisel3.Module$.do_apply(Module.scala:53)
[error] at freechips.rocketchip.diplomacy.LazyModuleImpLike.$anonfun$instantiate$14(LazyModule.scala:334)
[error] at chisel3.internal.plugin.package$.autoNameRecursively(package.scala:33)
[error] at freechips.rocketchip.diplomacy.LazyModuleImpLike.$anonfun$instantiate$13(LazyModule.scala:334)
[error] at scala.Option.getOrElse(Option.scala:201)
[error] at freechips.rocketchip.diplomacy.LazyModuleImpLike.$anonfun$instantiate$1(LazyModule.scala:332)
[error] at scala.collection.immutable.List.flatMap(List.scala:293)
[error] at freechips.rocketchip.diplomacy.LazyModuleImpLike.instantiate(LazyModule.scala:308)
[error] at freechips.rocketchip.diplomacy.LazyModuleImpLike.instantiate$(LazyModule.scala:305)
[error] at freechips.rocketchip.diplomacy.LazyModuleImp.instantiate(LazyModule.scala:392)
[error] at freechips.rocketchip.diplomacy.LazyModuleImp.$anonfun$x$22$1(LazyModule.scala:394)
[error] at chisel3.internal.plugin.package$.autoNameRecursivelyProduct(package.scala:48)
[error] at freechips.rocketchip.diplomacy.LazyModuleImp.<init>(LazyModule.scala:394)
[error] at freechips.rocketchip.subsystem.BareSubsystemModuleImp.<init>(BaseSubsystem.scala:29)
[error] at freechips.rocketchip.subsystem.BaseSubsystemModuleImp.<init>(BaseSubsystem.scala:122)
[error] at chipyard.ChipyardSubsystemModuleImp.<init>(Subsystem.scala:123)
[error] at chipyard.ChipyardSystemModule.<init>(System.scala:40)
[error] at chipyard.DigitalTopModule.<init>(DigitalTop.scala:41)
[error] at chipyard.DigitalTop.$anonfun$module$1(DigitalTop.scala:38)
[error] at chisel3.internal.plugin.package$.autoNameRecursively(package.scala:33)
[error] at chipyard.DigitalTop.module$lzycompute(DigitalTop.scala:38)
[error] at chipyard.DigitalTop.module(DigitalTop.scala:38)
[error] at chipyard.DigitalTop.module(DigitalTop.scala:15)
[error] at freechips.rocketchip.diplomacy.LazyModuleImpLike.$anonfun$instantiate$15(LazyModule.scala:334)
[error] at chisel3.Module$.do_apply(Module.scala:53)
[error] at freechips.rocketchip.diplomacy.LazyModuleImpLike.$anonfun$instantiate$14(LazyModule.scala:334)
[error] at chisel3.internal.plugin.package$.autoNameRecursively(package.scala:33)
[error] at freechips.rocketchip.diplomacy.LazyModuleImpLike.$anonfun$instantiate$13(LazyModule.scala:334)
[error] at scala.Option.getOrElse(Option.scala:201)
[error] at freechips.rocketchip.diplomacy.LazyModuleImpLike.$anonfun$instantiate$1(LazyModule.scala:332)
[error] at scala.collection.immutable.List.flatMap(List.scala:293)
[error] at freechips.rocketchip.diplomacy.LazyModuleImpLike.instantiate(LazyModule.scala:308)
[error] at freechips.rocketchip.diplomacy.LazyModuleImpLike.instantiate$(LazyModule.scala:305)
[error] at freechips.rocketchip.diplomacy.LazyRawModuleImp.instantiate(LazyModule.scala:401)
[error] at freechips.rocketchip.diplomacy.LazyRawModuleImp.$anonfun$x$23$2(LazyModule.scala:414)
[error] at chisel3.withClockAndReset$.apply(MultiClock.scala:26)
[error] at freechips.rocketchip.diplomacy.LazyRawModuleImp.$anonfun$x$23$1(LazyModule.scala:414)
[error] at chisel3.internal.plugin.package$.autoNameRecursivelyProduct(package.scala:48)
[error] at freechips.rocketchip.diplomacy.LazyRawModuleImp.<init>(LazyModule.scala:413)
[error] at chipyard.ChipTop$$anon$1.<init>(ChipTop.scala:34)
[error] at chipyard.ChipTop.$anonfun$module$1(ChipTop.scala:34)
[error] at chisel3.internal.plugin.package$.autoNameRecursively(package.scala:33)
[error] at chipyard.ChipTop.module$lzycompute(ChipTop.scala:34)
[error] at chipyard.ChipTop.module(ChipTop.scala:34)
[error] at chipyard.TestHarness.$anonfun$dut$2(TestHarness.scala:44)
[error] at chisel3.Module$.do_apply(Module.scala:53)
[error] at chipyard.TestHarness.$anonfun$dut$1(TestHarness.scala:44)
[error] at chisel3.internal.plugin.package$.autoNameRecursively(package.scala:33)
[error] at chipyard.TestHarness.<init>(TestHarness.scala:44)
[error] at sun.reflect.NativeConstructorAccessorImpl.newInstance0(Native Method)
[error] at sun.reflect.NativeConstructorAccessorImpl.newInstance(NativeConstructorAccessorImpl.java:62)
[error] at sun.reflect.DelegatingConstructorAccessorImpl.newInstance(DelegatingConstructorAccessorImpl.java:45)
[error] at java.lang.reflect.Constructor.newInstance(Constructor.java:423)
[error] at freechips.rocketchip.stage.phases.PreElaboration.$anonfun$transform$1(PreElaboration.scala:36)
[error] at chisel3.Module$.do_apply(Module.scala:53)
[error] at chisel3.stage.phases.Elaborate.$anonfun$transform$2(Elaborate.scala:40)
[error] at chisel3.internal.Builder$.$anonfun$build$1(Builder.scala:884)
[error] at scala.util.DynamicVariable.withValue(DynamicVariable.scala:59)
[error] at chisel3.internal.Builder$.build(Builder.scala:879)
[error] at chisel3.stage.phases.Elaborate.$anonfun$transform$1(Elaborate.scala:40)
[error] at scala.collection.immutable.List.flatMap(List.scala:293)
[error] at scala.collection.immutable.List.flatMap(List.scala:79)
[error] at chisel3.stage.phases.Elaborate.transform(Elaborate.scala:28)
[error] at chisel3.stage.phases.Elaborate.transform(Elaborate.scala:21)
[error] at firrtl.options.phases.DeletedWrapper.internalTransform(DeletedWrapper.scala:38)
[error] at firrtl.options.phases.DeletedWrapper.internalTransform(DeletedWrapper.scala:15)
[error] at firrtl.options.Translator.transform(Phase.scala:248)
[error] at firrtl.options.Translator.transform$(Phase.scala:248)
[error] at firrtl.options.phases.DeletedWrapper.transform(DeletedWrapper.scala:15)
[error] at firrtl.options.DependencyManager.$anonfun$transform$5(DependencyManager.scala:280)
[error] at firrtl.Utils$.time(Utils.scala:181)
[error] at firrtl.options.DependencyManager.$anonfun$transform$3(DependencyManager.scala:280)
[error] at scala.collection.LinearSeqOps.foldLeft(LinearSeq.scala:183)
[error] at scala.collection.LinearSeqOps.foldLeft$(LinearSeq.scala:179)
[error] at scala.collection.immutable.List.foldLeft(List.scala:79)
[error] at firrtl.options.DependencyManager.transform(DependencyManager.scala:269)
[error] at firrtl.options.DependencyManager.transform$(DependencyManager.scala:255)
[error] at firrtl.options.PhaseManager.transform(DependencyManager.scala:443)
[error] at chisel3.stage.ChiselStage.run(ChiselStage.scala:45)
[error] at firrtl.options.Stage$$anon$1.transform(Stage.scala:43)
[error] at firrtl.options.Stage$$anon$1.transform(Stage.scala:43)
[error] at firrtl.options.phases.DeletedWrapper.internalTransform(DeletedWrapper.scala:38)
[error] at firrtl.options.phases.DeletedWrapper.internalTransform(DeletedWrapper.scala:15)
[error] at firrtl.options.Translator.transform(Phase.scala:248)
[error] at firrtl.options.Translator.transform$(Phase.scala:248)
[error] at firrtl.options.phases.DeletedWrapper.transform(DeletedWrapper.scala:15)
[error] at firrtl.options.Stage.$anonfun$transform$5(Stage.scala:47)
[error] at scala.collection.LinearSeqOps.foldLeft(LinearSeq.scala:183)
[error] at scala.collection.LinearSeqOps.foldLeft$(LinearSeq.scala:179)
[error] at scala.collection.immutable.List.foldLeft(List.scala:79)
[error] at firrtl.options.Stage.$anonfun$transform$3(Stage.scala:47)
[error] at logger.Logger$.$anonfun$makeScope$2(Logger.scala:137)
[error] at scala.util.DynamicVariable.withValue(DynamicVariable.scala:59)
[error] at logger.Logger$.makeScope(Logger.scala:135)
[error] at firrtl.options.Stage.transform(Stage.scala:47)
[error] at firrtl.options.Stage.transform(Stage.scala:17)
[error] at firrtl.options.phases.DeletedWrapper.internalTransform(DeletedWrapper.scala:38)
[error] at firrtl.options.phases.DeletedWrapper.internalTransform(DeletedWrapper.scala:15)
[error] at firrtl.options.Translator.transform(Phase.scala:248)
[error] at firrtl.options.Translator.transform$(Phase.scala:248)
[error] at firrtl.options.phases.DeletedWrapper.transform(DeletedWrapper.scala:15)
[error] at firrtl.options.DependencyManager.$anonfun$transform$5(DependencyManager.scala:280)
[error] at firrtl.Utils$.time(Utils.scala:181)
[error] at firrtl.options.DependencyManager.$anonfun$transform$3(DependencyManager.scala:280)
[error] at scala.collection.LinearSeqOps.foldLeft(LinearSeq.scala:183)
[error] at scala.collection.LinearSeqOps.foldLeft$(LinearSeq.scala:179)
[error] at scala.collection.immutable.List.foldLeft(List.scala:79)
[error] at firrtl.options.DependencyManager.transform(DependencyManager.scala:269)
[error] at firrtl.options.DependencyManager.transform$(DependencyManager.scala:255)
[error] at firrtl.options.PhaseManager.transform(DependencyManager.scala:443)
[error] at chisel3.stage.ChiselStage.run(ChiselStage.scala:45)
[error] at firrtl.options.Stage$$anon$1.transform(Stage.scala:43)
[error] at firrtl.options.Stage$$anon$1.transform(Stage.scala:43)
[error] at firrtl.options.phases.DeletedWrapper.internalTransform(DeletedWrapper.scala:38)
[error] at firrtl.options.phases.DeletedWrapper.internalTransform(DeletedWrapper.scala:15)
[error] at firrtl.options.Translator.transform(Phase.scala:248)
[error] at firrtl.options.Translator.transform$(Phase.scala:248)
[error] at firrtl.options.phases.DeletedWrapper.transform(DeletedWrapper.scala:15)
[error] at firrtl.options.Stage.$anonfun$transform$5(Stage.scala:47)
[error] at scala.collection.LinearSeqOps.foldLeft(LinearSeq.scala:183)
[error] at scala.collection.LinearSeqOps.foldLeft$(LinearSeq.scala:179)
[error] at scala.collection.immutable.List.foldLeft(List.scala:79)
[error] at firrtl.options.Stage.$anonfun$transform$3(Stage.scala:47)
[error] at logger.Logger$.$anonfun$makeScope$2(Logger.scala:137)
[error] at scala.util.DynamicVariable.withValue(DynamicVariable.scala:59)
[error] at logger.Logger$.makeScope(Logger.scala:135)
[error] at firrtl.options.Stage.transform(Stage.scala:47)
[error] at firrtl.options.Stage.execute(Stage.scala:58)
[error] at firrtl.options.StageMain.main(Stage.scala:71)
[error] at chipyard.Generator.main(Generator.scala)
[error] at sun.reflect.NativeMethodAccessorImpl.invoke0(Native Method)
[error] at sun.reflect.NativeMethodAccessorImpl.invoke(NativeMethodAccessorImpl.java:62)
[error] at sun.reflect.DelegatingMethodAccessorImpl.invoke(DelegatingMethodAccessorImpl.java:43)
[error] at java.lang.reflect.Method.invoke(Method.java:498)
[error] stack trace is suppressed; run last Compile / runMain for the full output
[error] (Compile / runMain) java.lang.reflect.InvocationTargetException
[error] Total time: 115 s (01:55), completed Apr 27, 2023 8:24:52 AM
make: *** [/root/verilator/chipyard/sims/verilator/generated-src/chipyard.TestHarness.GCDAXI4BlackBoxRocketConfig/chipyard.TestHarness.GCDAXI4BlackBoxRocketConfig.fir]


Please help me to fix error, thank everyone.

Huy Hoàng Phạm

unread,
Jun 26, 2023, 10:58:21 PMJun 26
to chisel-users
Hi Huy,
 
I have the same errors as yours.
Have you fixed them yet?

Thanks

Vào lúc 08:35:16 UTC+7 ngày Thứ Năm, 27 tháng 4, 2023, nguyenthe...@gmail.com đã viết:

Thế Huy

unread,
Jun 28, 2023, 5:26:58 AMJun 28
to chisel-users
Hello,

I fixed those errors because I wrote CÒNFIG==... command incorrectly.

Best regards,
Huy

Vào lúc 09:58:21 UTC+7 ngày Thứ Ba, 27 tháng 6, 2023, hoan...@gmail.com đã viết:

Huy Hoàng Phạm

unread,
Jun 28, 2023, 9:44:12 PMJun 28
to chisel-users
Hi Huy, 

Did you git checkout 1.9.0 or 1.9.1?
Or you just git clone chipyard?

Best regards,
Hoang

Vào lúc 16:26:58 UTC+7 ngày Thứ Tư, 28 tháng 6, 2023, nguyenthe...@gmail.com đã viết:
Reply all
Reply to author
Forward
0 new messages