verilog output with Chisel v5.1.0

27 views
Skip to first unread message

chiselStudent

unread,
Jan 23, 2024, 9:55:25 PMJan 23
to chisel-users
I am using the chisel-template gcd module and see that I can generate a system verilog file. using: 
object GCDMain extends App {
ChiselStage.emitSystemVerilog(
new GCD
)
}

But I was hoping there was a flag I could set to change it to verilog output? I saw that I can change the FirtoolOption, but not the CIRCTTargetAnnotation? 

Thanks for any help.
Reply all
Reply to author
Forward
0 new messages