Chisel v3.4.2 has been released

43 views
Skip to first unread message

Jack Koenig

unread,
Feb 3, 2021, 4:19:05 PM2/3/21
to chisel-users
Hello everyone,

We have released a new minor version of the various Chisel-related projects:

Chisel and FIRRTL have the bulk of the changes this minor release, please check out the release notes.

I'd also like to mention that we recently made some updates and simplifications to the chisel-template, especially in its SBT build configuration. It also is now a Github template repo which makes it very easy to start projects with no git history. Please check out the revised template and if you have a project created from an older version, you can use the new build.sbt as a model to simplify your own build configuration:

As always, if you have any trouble, feel free to ask questions in this mailing list or come hang out on Gitter: https://gitter.im/freechipsproject/chisel3

~Jack

P.S. Sorry for our inconsistency in announcing releases on this mailing list. We're trying to do better going forward!

Øyvind Harboe

unread,
Feb 4, 2021, 9:10:56 AM2/4/21
to chisel-users
Thanks for posting all the versions here, makes it much easier to modify the build.sbt files!

val defaultVersions = Map(
"chisel3" -> "3.4.2",
"chisel-iotesters" -> "1.5.2",
"chiseltest" -> "0.3.2"
)

Jack Koenig

unread,
Mar 19, 2021, 3:15:52 PM3/19/21
to chisel-users
This is long overdue, but there is now a page on the website documenting which versions work together: https://www.chisel-lang.org/chisel3/docs/appendix/versioning.html
Reply all
Reply to author
Forward
0 new messages