Error occurs while following ASPLOS 2022 tutorial

782 views
Skip to first unread message

Haeun Lee

unread,
Jun 20, 2022, 6:31:25 AM6/20/22
to Chipyard
Hello, 

I am following this (https://fires.im/asplos22-slides-pdf/03_building_custom_socs.pdf) tutorial, and is getting an error while executing command line like in below picture.

12.jpg

The error log is like below. (Sorry for extremely long log...)
I have ran "make" or "make debug" command and could check that they work find. 
Could someone tell me what might be the problem?

Thank you,
Haeun

cen...@ip-192-168-2-35.us-west-2.compute.internal:~/firesim/target-design/chipyard/sims/verilator$ make CONFIG=TutorialStarterConfig
Running with RISCV=/home/centos/firesim/target-design/chipyard/riscv-tools-install
mkdir -p /home/centos/firesim/target-design/chipyard/sims/verilator/generated-src/chipyard.TestHarness.TutorialStarterConfig
cd /home/centos/firesim/target-design/chipyard && java -jar /home/centos/firesim/target-design/chipyard/generators/rocket-chip/sbt-launch.jar   ";project chipyard; runMain chipyard.Generator  --target-dir /home/centos/firesim/target-design/chipyard/sims/verilator/generated-src/chipyard.TestHarness.TutorialStarterConfig --name chipyard.TestHarness.TutorialStarterConfig --top-module chipyard.TestHarness --legacy-configs chipyard:TutorialStarterConfig "
Picked up JAVA_TOOL_OPTIONS: -Xmx8G -Xss8M -Djava.io.tmpdir=/home/centos/firesim/target-design/chipyard/.java_tmp
[info] welcome to sbt 1.5.5 (Red Hat, Inc. Java 1.8.0_322)
[info] loading settings for project chipyard-build from plugins.sbt ...
[info] loading project definition from /home/centos/firesim/target-design/chipyard/project
[info] loading settings for project chipyardRoot from build.sbt ...
[info] loading settings for project hardfloat from build.sbt ...
[info] loading settings for project rocketConfig from build.sbt ...
[info] loading settings for project testchipip from build.sbt ...
[info] loading settings for project icenet from build.sbt ...
[info] loading settings for project hwacha from build.sbt ...
[info] loading settings for project boom from build.sbt ...
[info] loading settings for project cva6 from build.sbt ...
[info] loading settings for project ibex from build.sbt ...
[info] loading settings for project sodor from build.sbt ...
[info] loading settings for project gemmini from build.sbt ...
[info] loading settings for project tapeout from build.sbt ...
[info] loading settings for project sim-build from plugins.sbt ...
[info] loading project definition from /home/centos/firesim/sim/project
[info] loading settings for project firesim from build.sbt ...
[info] loading settings for project targetutils from build.sbt ...
[info] loading settings for project midas from build.sbt ...
[info] resolving key references (33315 settings) ...
[info] set current project to chipyardRoot (in build file:/home/centos/firesim/target-design/chipyard/)
[info] set current project to chipyard (in build file:/home/centos/firesim/target-design/chipyard/)
[info] compiling 1 Scala source to /home/centos/firesim/target-design/chipyard/generators/rocket-chip/api-config-chipsalliance/build-rules/sbt/target/scala-2.12/classes ...
[info] compiling 1 Scala source to /home/centos/firesim/target-design/chipyard/generators/rocket-chip/macros/target/scala-2.12/classes ...
[info] compiling 2 Scala sources to /home/centos/firesim/target-design/chipyard/tools/barstools/src/target/scala-2.12/classes ...
[info] compiling 20 Scala sources to /home/centos/firesim/target-design/chipyard/generators/rocket-chip/hardfloat/target/scala-2.12/classes ...
[info] compiling 50 Scala sources to /home/centos/firesim/target-design/chipyard/tools/dsptools/src/target/scala-2.12/classes ...
[warn] there was one feature warning; re-run with -feature for details
[warn] one warning found
[warn] /home/centos/firesim/target-design/chipyard/tools/dsptools/src/main/scala/dsptools/Driver.scala:19:20: type MultiIOModule in package chisel3 is deprecated (since Chisel 3.5): MultiIOModule is now just Module
[warn]   def execute[T <: MultiIOModule](dutGenerator: () => T,
[warn]                    ^
[warn] /home/centos/firesim/target-design/chipyard/tools/dsptools/src/main/scala/dsptools/Driver.scala:20:23: class TesterOptionsManager in package iotesters is deprecated (since chisel-iotesters 2.5.0): chisel-iotesters is end-of-life. Use chiseltest instead, see https://www.chisel-lang.org/chiseltest/migrating-from-iotesters.
[warn]       optionsManager: TesterOptionsManager)(testerGen: T => PeekPokeTester[T]): Boolean = {
[warn]                       ^
[warn] /home/centos/firesim/target-design/chipyard/tools/dsptools/src/main/scala/dsptools/Driver.scala:20:58: class PeekPokeTester in package iotesters is deprecated (since chisel-iotesters 2.5.0): chisel-iotesters is end-of-life. Use chiseltest instead, see https://www.chisel-lang.org/chiseltest/migrating-from-iotesters.
[warn]       optionsManager: TesterOptionsManager)(testerGen: T => PeekPokeTester[T]): Boolean = {
[warn]                                                          ^
[warn] /home/centos/firesim/target-design/chipyard/tools/dsptools/src/main/scala/dsptools/Driver.scala:34:24: method execute in object Driver is deprecated (since 20210301): Use Driver#execute[T <: Module](args: Array[String], dut: () => T)
[warn]       iotesters.Driver.execute(dutGenerator, optionsManager)(testerGen)
[warn]                        ^
[warn] /home/centos/firesim/target-design/chipyard/tools/dsptools/src/main/scala/dsptools/Driver.scala:34:17: object Driver in package iotesters is deprecated (since chisel-iotesters 2.5.0): chisel-iotesters is end-of-life. Use chiseltest instead, see https://www.chisel-lang.org/chiseltest/migrating-from-iotesters.
[warn]       iotesters.Driver.execute(dutGenerator, optionsManager)(testerGen)
[warn]                 ^
[warn] /home/centos/firesim/target-design/chipyard/tools/dsptools/src/main/scala/dsptools/Driver.scala:39:20: type MultiIOModule in package chisel3 is deprecated (since Chisel 3.5): MultiIOModule is now just Module
[warn]   def execute[T <: MultiIOModule](dutGenerator: () => T,
[warn]                    ^
[warn] /home/centos/firesim/target-design/chipyard/tools/dsptools/src/main/scala/dsptools/Driver.scala:40:55: class PeekPokeTester in package iotesters is deprecated (since chisel-iotesters 2.5.0): chisel-iotesters is end-of-life. Use chiseltest instead, see https://www.chisel-lang.org/chiseltest/migrating-from-iotesters.
[warn]       args: Array[String] = Array.empty)(testerGen: T => PeekPokeTester[T]): Boolean = {
[warn]                                                       ^
[warn] /home/centos/firesim/target-design/chipyard/tools/dsptools/src/main/scala/dsptools/Driver.scala:59:30: type MultiIOModule in package chisel3 is deprecated (since Chisel 3.5): MultiIOModule is now just Module
[warn]   def executeFirrtlRepl[T <: MultiIOModule](dutGenerator: () => T,
[warn]                              ^
[warn] /home/centos/firesim/target-design/chipyard/tools/dsptools/src/main/scala/dsptools/Driver.scala:71:32: method makeScope in object LoggerCompatibility is deprecated (since FIRRTL 1.2): Use makeScope(opts: FirrtlOptions)
[warn]     logger.LoggerCompatibility.makeScope(optionsManager) {
[warn]                                ^
[warn] /home/centos/firesim/target-design/chipyard/tools/dsptools/src/main/scala/dsptools/Driver.scala:72:59: object DriverCompatibility in package iotesters is deprecated (since chisel-iotesters 2.5.0): chisel-iotesters is end-of-life. Use chiseltest instead, see https://www.chisel-lang.org/chiseltest/migrating-from-iotesters.
[warn]       val chiselResult: ChiselExecutionResult = iotesters.DriverCompatibility.execute(optionsManager, dutGenerator)
[warn]                                                           ^
[warn] /home/centos/firesim/target-design/chipyard/tools/dsptools/src/main/scala/dsptools/Driver.scala:75:39: class ReplConfig in package firrtl_interpreter is deprecated (since firrtl-interpreter 1.5.0): firrtl-interpreter is end-of-life. Use treadle instead.
[warn]           optionsManager.replConfig = ReplConfig(firrtlSource = emitted)
[warn]                                       ^
[warn] /home/centos/firesim/target-design/chipyard/tools/dsptools/src/main/scala/dsptools/Driver.scala:76:11: object FirrtlRepl in package firrtl_interpreter is deprecated (since firrtl-interpreter 1.5.0): firrtl-interpreter is end-of-life. Use treadle instead.
[warn]           FirrtlRepl.execute(optionsManager)
[warn]           ^
[warn] /home/centos/firesim/target-design/chipyard/tools/dsptools/src/main/scala/dsptools/Driver.scala:88:11: class InterpreterOptionsManager in package firrtl_interpreter is deprecated (since firrtl-interpreter 1.5.0): firrtl-interpreter is end-of-life. Use treadle instead.
[warn]   extends InterpreterOptionsManager
[warn]           ^
[warn] /home/centos/firesim/target-design/chipyard/tools/dsptools/src/main/scala/dsptools/Driver.scala:89:10: trait HasInterpreterOptions in package firrtl_interpreter is deprecated (since firrtl-interpreter 1.5.0): firrtl-interpreter is end-of-life. Use treadle instead.
[warn]     with HasInterpreterOptions
[warn]          ^
[warn] /home/centos/firesim/target-design/chipyard/tools/dsptools/src/main/scala/dsptools/Driver.scala:91:10: trait HasFirrtlOptions in package firrtl is deprecated (since FIRRTL 1.2): Specify command line arguments in an Annotation mixing in HasScoptOptions
[warn]     with HasFirrtlOptions
[warn]          ^
[warn] /home/centos/firesim/target-design/chipyard/tools/dsptools/src/main/scala/dsptools/Driver.scala:92:10: trait HasReplConfig in package firrtl_interpreter is deprecated (since firrtl-interpreter 1.5.0): firrtl-interpreter is end-of-life. Use treadle instead.
[warn]     with HasReplConfig
[warn]          ^
[warn] /home/centos/firesim/target-design/chipyard/tools/dsptools/src/main/scala/dsptools/Driver.scala:93:10: trait HasTreadleOptions in package iotesters is deprecated (since 20210301): Use Driver#execute[T <: Module](args: Array[String], dut: () => T)
[warn]     with HasTreadleOptions
[warn]          ^
[warn] /home/centos/firesim/target-design/chipyard/tools/dsptools/src/main/scala/dsptools/numbers/chisel_concrete/DspComplex.scala:14:13: method isLit in class Data is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]     if (gen.isLit()) throw DspException("Cannot use Lit in single argument DspComplex.apply")
[warn]             ^
[warn] /home/centos/firesim/target-design/chipyard/tools/dsptools/src/main/scala/dsptools/numbers/chisel_concrete/DspReal.scala:118:23: object Driver in package iotesters is deprecated (since chisel-iotesters 2.5.0): chisel-iotesters is end-of-life. Use chiseltest instead, see https://www.chisel-lang.org/chiseltest/migrating-from-iotesters.
[warn]     chisel3.iotesters.Driver.optionsManager.testerOptions.backendName == "verilator"
[warn]                       ^
[warn] /home/centos/firesim/target-design/chipyard/tools/dsptools/src/main/scala/dsptools/numbers/rounding/Saturate.scala:28:41: type MultiIOModule in package chisel3 is deprecated (since Chisel 3.5): MultiIOModule is now just Module
[warn] trait SaturateModule[T <: Data] extends MultiIOModule {
[warn]                                         ^
[warn] /home/centos/firesim/target-design/chipyard/tools/dsptools/src/main/scala/dsptools/numbers/rounding/Saturate.scala:204:18: class CircuitForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre
[warn]   def inputForm: CircuitForm = MidForm
[warn]                  ^
[warn] /home/centos/firesim/target-design/chipyard/tools/dsptools/src/main/scala/dsptools/numbers/rounding/Saturate.scala:204:32: object MidForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre
[warn]   def inputForm: CircuitForm = MidForm
[warn]                                ^
[warn] /home/centos/firesim/target-design/chipyard/tools/dsptools/src/main/scala/dsptools/numbers/rounding/Saturate.scala:205:19: class CircuitForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre
[warn]   def outputForm: CircuitForm = HighForm
[warn]                   ^
[warn] /home/centos/firesim/target-design/chipyard/tools/dsptools/src/main/scala/dsptools/numbers/rounding/Saturate.scala:205:33: object HighForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre
[warn]   def outputForm: CircuitForm = HighForm
[warn]                                 ^
[warn] /home/centos/firesim/target-design/chipyard/tools/dsptools/src/main/scala/dsptools/tester/DspTester.scala:19:23: type MultiIOModule in package chisel3 is deprecated (since Chisel 3.5): MultiIOModule is now just Module
[warn] class DspTester[+T <: MultiIOModule](
[warn]                       ^
[warn] /home/centos/firesim/target-design/chipyard/tools/dsptools/src/main/scala/dsptools/tester/DspTester.scala:101:29: method litValue in class Data is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]         val litVal = signal.litValue()
[warn]                             ^
[warn] /home/centos/firesim/target-design/chipyard/tools/dsptools/src/main/scala/dsptools/tester/DspTesterOptions.scala:33:11: trait ComposableOptions in package firrtl is deprecated (since FIRRTL 1.2): Use firrtl.options.HasScoptOptions and/or library/transform registration
[warn] ) extends ComposableOptions {
[warn]           ^
[warn] /home/centos/firesim/target-design/chipyard/tools/dsptools/src/main/scala/dsptools/tester/DspTesterOptions.scala:47:9: class ExecutionOptionsManager in package firrtl is deprecated (since FIRRTL 1.2): Use new FirrtlStage infrastructure
[warn]   self: ExecutionOptionsManager =>
[warn]         ^
[warn] /home/centos/firesim/target-design/chipyard/tools/dsptools/src/main/scala/dsptools/tester/DspTesterOptions.scala:124:11: class TesterOptionsManager in package iotesters is deprecated (since chisel-iotesters 2.5.0): chisel-iotesters is end-of-life. Use chiseltest instead, see https://www.chisel-lang.org/chiseltest/migrating-from-iotesters.
[warn]   extends TesterOptionsManager
[warn]           ^
[warn] /home/centos/firesim/target-design/chipyard/tools/dsptools/src/main/scala/dsptools/tester/VerilogTbDump.scala:18:12: type MultiIOModule in package chisel3 is deprecated (since Chisel 3.5): MultiIOModule is now just Module
[warn]   def dut: MultiIOModule
[warn]            ^
[warn] /home/centos/firesim/target-design/chipyard/tools/dsptools/src/main/scala/dsptools/tester/VerilogTbDump.scala:21:39: object Driver in package iotesters is deprecated (since chisel-iotesters 2.5.0): chisel-iotesters is end-of-life. Use chiseltest instead, see https://www.chisel-lang.org/chiseltest/migrating-from-iotesters.
[warn]   val iotestersOM = chisel3.iotesters.Driver.optionsManager
[warn]                                       ^
[warn] there were 31 feature warnings; re-run with -feature for details
[warn] 32 warnings found
[warn] 535 feature warnings; re-run with -feature for details
[warn] one warning found
[info] compiling 376 Scala sources to /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/target/scala-2.12/classes ...
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/tile/BusErrorUnit.scala:18:7: Unable to determine primary constructor!
[warn] trait BusErrors extends Bundle {
[warn]       ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/tilelink/Bundles.scala:163:14: Unable to determine primary constructor!
[warn] sealed trait TLChannel extends TLBundleBase {
[warn]              ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/tilelink/Bundles.scala:167:14: Unable to determine primary constructor!
[warn] sealed trait TLDataChannel extends TLChannel
[warn]              ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/tilelink/Bundles.scala:168:14: Unable to determine primary constructor!
[warn] sealed trait TLAddrChannel extends TLDataChannel
[warn]              ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/util/ECC.scala:211:7: Unable to determine primary constructor!
[warn] trait CanHaveErrors extends Bundle {
[warn]       ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/util/ElaborationArtefactAnnotation.scala:77:98: match may not be exhaustive.
[warn] It would fail on the following input: (_, _)
[warn]         many.tail.foldLeft(Seq[Token](MemoryPathToken(many.head.asInstanceOf[ReferenceTarget]))) {
[warn]                                                                                                  ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/amba/apb/RegisterRouter.scala:34:11: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]     when (in.fire())  { taken := Bool(true)  }
[warn]           ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/amba/apb/RegisterRouter.scala:35:11: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]     when (out.fire()) { taken := Bool(false) }
[warn]           ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/amba/apb/ToTL.scala:74:17: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]       when (out.a.fire()){in_flight_reg := true.B}
[warn]                 ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/amba/apb/ToTL.scala:75:17: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]       when (out.d.fire()){in_flight_reg := false.B}
[warn]                 ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/amba/apb/ToTL.scala:99:17: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]       when (out.a.fire()) {
[warn]                 ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/amba/axi4/Deinterleaver.scala:94:40: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]             val inc = enq_OH(i) && out.r.fire() && out.r.bits.last
[warn]                                        ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/amba/axi4/Deinterleaver.scala:95:39: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]             val dec = deq_OH(i) && in.r.fire() && in.r.bits.last
[warn]                                       ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/amba/axi4/Deinterleaver.scala:107:30: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]         when (!locked || (in.r.fire() && in.r.bits.last)) {
[warn]                              ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/amba/axi4/Deinterleaver.scala:119:34: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]           q.deq.ready := s && in.r.fire()
[warn]                                  ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/amba/axi4/Delayer.scala:22:13: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]       when (sink.fire()) { hold := Bool(false) }
[warn]             ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/amba/axi4/Fragmenter.scala:124:15: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]         when (out.fire()) {
[warn]               ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/amba/axi4/Fragmenter.scala:154:17: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]       when (out.aw.fire()) { wbeats_latched := Bool(false) }
[warn]                 ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/amba/axi4/Fragmenter.scala:168:33: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]       w_counter := w_todo - out.w.fire()
[warn]                                 ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/amba/axi4/Fragmenter.scala:169:20: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]       assert (!out.w.fire() || w_todo =/= UInt(0)) // underflow impossible
[warn]                    ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/amba/axi4/Fragmenter.scala:195:26: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]         when (sel && out.b.fire()) { reg := Mux(b_last, UInt(0), reg | out.b.bits.resp) }
[warn]                          ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/amba/axi4/SRAM.scala:76:15: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]     when (in. b.fire()) { w_full := Bool(false) }
[warn]               ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/amba/axi4/SRAM.scala:77:14: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]     when (in.aw.fire()) { w_full := Bool(true) }
[warn]              ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/amba/axi4/SRAM.scala:79:14: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]     when (in.aw.fire()) {
[warn]              ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/amba/axi4/SRAM.scala:86:14: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]     when (in.aw.fire() && w_sel0) {
[warn]              ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/amba/axi4/SRAM.scala:103:15: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]     when (in. r.fire()) { r_full := Bool(false) }
[warn]               ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/amba/axi4/SRAM.scala:104:14: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]     when (in.ar.fire()) { r_full := Bool(true) }
[warn]              ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/amba/axi4/SRAM.scala:106:14: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]     when (in.ar.fire()) {
[warn]              ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/amba/axi4/SRAM.scala:112:18: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]     val ren = in.ar.fire()
[warn]                  ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/amba/axi4/ToTL.scala:116:18: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]         when (in.ar.fire() && s) { r := r + UInt(1) }
[warn]                  ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/amba/axi4/ToTL.scala:152:18: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]         when (in.aw.fire() && s) { r := r + UInt(1) }
[warn]                  ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/amba/axi4/ToTL.scala:191:18: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]         when (in.b.fire() && s) { r := r + UInt(1) }
[warn]                  ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/amba/axi4/Xbar.scala:126:37: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]               arSel(id) && io_in(i).ar.fire(),
[warn]                                     ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/amba/axi4/Xbar.scala:127:36: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]               rSel(id) && io_in(i).r.fire() && io_in(i).r.bits.last)
[warn]                                    ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/amba/axi4/Xbar.scala:130:37: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]               awSel(id) && io_in(i).aw.fire(),
[warn]                                     ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/amba/axi4/Xbar.scala:131:36: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]               bSel(id) && io_in(i).b.fire())
[warn]                                    ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/amba/axi4/Xbar.scala:148:26: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]         when (awIn(i).io.enq.fire()) { latched := Bool(true) }
[warn]                          ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/amba/axi4/Xbar.scala:149:21: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]         when (in(i).aw.fire()) { latched := Bool(false) }
[warn]                     ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/amba/axi4/Xbar.scala:169:27: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]         when (awOut(i).io.enq.fire()) { latched := Bool(true) }
[warn]                           ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/amba/axi4/Xbar.scala:170:22: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]         when (out(i).aw.fire()) { latched := Bool(false) }
[warn]                      ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/amba/axi4/Xbar.scala:274:11: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]     when (sink.fire()) { idle := Bool(true) }
[warn]           ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/devices/debug/Debug.scala:816:22: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]       }.elsewhen (io.innerCtrl.fire()){
[warn]                      ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/devices/debug/Debug.scala:826:22: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]       }.elsewhen (io.innerCtrl.fire()){
[warn]                      ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/devices/debug/Debug.scala:863:20: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]     }.elsewhen (io.innerCtrl.fire()){
[warn]                    ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/devices/debug/Debug.scala:890:24: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]     val resumereq = io.innerCtrl.fire() && io.innerCtrl.bits.resumereq
[warn]                        ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/devices/debug/Debug.scala:922:16: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]       when (io.innerCtrl.fire() && io.innerCtrl.bits.ackhavereset) {
[warn]                ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/devices/debug/DebugTransport.scala:146:16: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   when (io.dmi.resp.fire()) {
[warn]                ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/devices/debug/DebugTransport.scala:203:39: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   assert(!(dmiReqValidCheck && io.dmi.req.fire()), "Conflicting updates for dmiReqValidReg, should not happen.");
[warn]                                       ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/devices/debug/DebugTransport.scala:220:16: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   when (io.dmi.req.fire()) {
[warn]                ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/devices/debug/Periphery.scala:200:7: method apply in object stop is deprecated (since Chisel 3.5): Non-zero return codes are not well supported. Please use assert(false.B) if you want to indicate a failure.
[warn]       stop(1)
[warn]       ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/devices/debug/Periphery.scala:236:7: method apply in object stop is deprecated (since Chisel 3.5): Non-zero return codes are not well supported. Please use assert(false.B) if you want to indicate a failure.
[warn]       stop(1)
[warn]       ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/devices/tilelink/Error.scala:70:13: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]       when (da.fire() && da.bits.opcode === Grant) { idle := Bool(false) }
[warn]             ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/devices/tilelink/Error.scala:71:16: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]       when (in.e.fire()) { idle := Bool(true) }
[warn]                ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/devices/tilelink/MaskROM.scala:33:21: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]     rom.io.me := in.a.fire()
[warn]                     ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/devices/tilelink/MaskROM.scala:38:49: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]     val d_data = rom.io.q holdUnless RegNext(in.a.fire())
[warn]                                                 ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/devices/tilelink/MaskROM.scala:41:14: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]     when (in.d.fire()) { d_full := Bool(false) }
[warn]              ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/devices/tilelink/MaskROM.scala:42:14: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]     when (in.a.fire()) { d_full := Bool(true)  }
[warn]              ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/devices/tilelink/MaskROM.scala:46:14: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]     when (in.a.fire()) {
[warn]              ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/devices/tilelink/PhysicalFilter.scala:227:41: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]       flight := flight + (a_first && in.a.fire()) - (d_last && !d_rack && in.d.fire())
[warn]                                         ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/devices/tilelink/PhysicalFilter.scala:227:78: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]       flight := flight + (a_first && in.a.fire()) - (d_last && !d_rack && in.d.fire())
[warn]                                                                              ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/devices/tilelink/PhysicalFilter.scala:281:18: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]         when (in.a.fire() && a_first) {
[warn]                  ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/devices/tilelink/PhysicalFilter.scala:294:18: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]         when (in.e.fire() && isMyId) {
[warn]                  ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/devices/tilelink/TestRAM.scala:53:14: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]     when (in.a.fire() && hasData) {
[warn]              ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/diplomacy/CloneModule.scala:26:36: lazy value getPorts in class RawModule is deprecated (since Chisel 3.5): Use DataMirror.modulePorts instead. this API will be removed in Chisel 3.6
[warn]   val io = IO(new ClonePorts(model.getPorts.map(_.id): _*))
[warn]                                    ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/diplomacy/LazyModule.scala:335:54: type MultiIOModule in package chisel3 is deprecated (since Chisel 3.5): MultiIOModule is now just Module
[warn] class LazyModuleImp(val wrapper: LazyModule) extends MultiIOModule with LazyModuleImpLike {
[warn]                                                      ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/diplomacy/LazyModule.scala:503:44: method flip in class AddDirectionToData is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]     val element = if (flip) data.cloneType.flip() else data.cloneType
[warn]                                            ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/groundtest/TraceGen.scala:225:37: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   reqTimer.io.start.valid := io.mem.req.fire()
[warn]                                     ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/groundtest/TraceGen.scala:384:46: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   val canSendFreshReq = (!reqValid || io.mem.req.fire()) &&
[warn]                                              ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/groundtest/TraceGen.scala:520:21: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   .elsewhen (io.mem.req.fire()) {
[warn]                     ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/groundtest/TraceGen.scala:535:16: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   when (io.mem.req.fire()) {
[warn]                ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/linting/LintAnnotation.scala:19:29: method info in class FileInfo is deprecated (since FIRRTL 1.4): Use FileInfo.unescaped instead. FileInfo.info will be removed in FIRRTL 1.5.
[warn]       case f: FileInfo => f.info.serialize match {
[warn]                             ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/linting/LintReporter.scala:13:100: trait PreservesAll in package options is deprecated (since FIRRTL 1.4): Use an explicit `override def invalidates` returning false. This will be removed in FIRRTL 1.5.
[warn] final class LintReporter extends Transform with RegisteredLibrary with DependencyAPIMigration with PreservesAll[Transform] {
[warn]                                                                                                    ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/linting/rule/LintRule.scala:15:99: trait PreservesAll in package options is deprecated (since FIRRTL 1.4): Use an explicit `override def invalidates` returning false. This will be removed in FIRRTL 1.5.
[warn] abstract class LintRule extends Transform with RegisteredLibrary with DependencyAPIMigration with PreservesAll[Transform] {
[warn]                                                                                                   ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/linting/rule/package.scala:45:9: method info in class FileInfo is deprecated (since FIRRTL 1.4): Use FileInfo.unescaped instead. FileInfo.info will be removed in FIRRTL 1.5.
[warn]       f.info.serialize match {
[warn]         ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/linting/rule/package.scala:46:43: method apply in object FileInfo is deprecated (since FIRRTL 1.4): Use FileInfo.fromUnEscaped instead. FileInfo.apply will be removed in FIRRTL 1.5.
[warn]         case infoRegex(scala, fir) => Seq(FileInfo(StringLit(scala)), FileInfo(StringLit(fir)))
[warn]                                           ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/linting/rule/package.scala:46:71: method apply in object FileInfo is deprecated (since FIRRTL 1.4): Use FileInfo.fromUnEscaped instead. FileInfo.apply will be removed in FIRRTL 1.5.
[warn]         case infoRegex(scala, fir) => Seq(FileInfo(StringLit(scala)), FileInfo(StringLit(fir)))
[warn]                                                                       ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/linting/rule/package.scala:67:20: method info in class FileInfo is deprecated (since FIRRTL 1.4): Use FileInfo.unescaped instead. FileInfo.info will be removed in FIRRTL 1.5.
[warn]       val file = i.info.serialize.split(' ').head
[warn]                    ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/rocket/DCache.scala:162:38: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   val s1_valid = Reg(next=io.cpu.req.fire(), init=Bool(false))
[warn]                                      ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/rocket/DCache.scala:163:36: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   val s1_probe = Reg(next=tl_out.b.fire(), init=Bool(false))
[warn]                                    ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/rocket/DCache.scala:164:54: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   val probe_bits = RegEnable(tl_out.b.bits, tl_out.b.fire()) // TODO has data now :(
[warn]                                                      ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/rocket/DCache.scala:168:47: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   val s1_tlb_req_valid = RegNext(tlb_port.req.fire(), false.B)
[warn]                                               ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/rocket/DCache.scala:180:23: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   when (!tlb_port.req.fire()) {
[warn]                       ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/rocket/DCache.scala:606:18: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   when (tl_out_a.fire()) {
[warn]                  ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/rocket/DCache.scala:649:18: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   when (tl_out.d.fire()) {
[warn]                  ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/rocket/DCache.scala:691:19: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   assert(tl_out.e.fire() === (tl_out.d.fire() && d_first && grantIsCached))
[warn]                   ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/rocket/DCache.scala:691:40: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   assert(tl_out.e.fire() === (tl_out.d.fire() && d_first && grantIsCached))
[warn]                                        ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/rocket/DCache.scala:776:59: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   val s1_release_data_valid = Reg(next = dataArb.io.in(2).fire())
[warn]                                                           ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/rocket/DCache.scala:778:57: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   releaseRejected := s2_release_data_valid && !tl_out_c.fire()
[warn]                                                         ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/rocket/DCache.scala:854:22: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]       when (tl_out_c.fire() && c_first) {
[warn]                      ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/rocket/DCache.scala:889:26: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   when (metaArb.io.in(4).fire()) { release_state := s_ready }
[warn]                          ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/rocket/DCache.scala:924:34: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   io.cpu.replay_next := tl_out.d.fire() && grantIsUncachedData && !cacheParams.separateUncachedResp
[warn]                                  ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/rocket/DCache.scala:988:38: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   s1_flush_valid := metaArb.io.in(5).fire() && !s1_flush_valid && !s2_flush_valid_pre_tag_ecc && release_state === s_ready && !release_ack_wait
[warn]                                      ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/rocket/DCache.scala:1005:20: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]     when (tl_out_a.fire() && !s2_uncached) { flushed := false }
[warn]                    ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/rocket/DCache.scala:1053:37: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   io.cpu.perf.tlbMiss := io.ptw.req.fire()
[warn]                                     ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/rocket/DCache.scala:1077:22: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]       when (tl_out.d.fire() && grantIsRefill) { refill_count := refill_count + 1 }
[warn]                      ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/rocket/DCache.scala:1086:25: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]       (RegNext(tl_out_c.fire() && inWriteback && s2_data_error),
[warn]                         ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/rocket/DCache.scala:1103:37: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]     io.errors.bus.valid := tl_out.d.fire() && (tl_out.d.bits.denied || tl_out.d.bits.corrupt)
[warn]                                     ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/rocket/Frontend.scala:131:40: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   s2_replay := (s2_valid && !fq.io.enq.fire()) || RegNext(s2_replay && !s0_valid, true.B)
[warn]                                        ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/rocket/Frontend.scala:220:63: method asUInt in class fromBigIntToLiteral is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]       val rviBranch = rviBits(6,0) === Instructions.BEQ.value.asUInt()(6,0)
[warn]                                                               ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/rocket/Frontend.scala:221:61: method asUInt in class fromBigIntToLiteral is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]       val rviJump = rviBits(6,0) === Instructions.JAL.value.asUInt()(6,0)
[warn]                                                             ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/rocket/Frontend.scala:222:62: method asUInt in class fromBigIntToLiteral is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]       val rviJALR = rviBits(6,0) === Instructions.JALR.value.asUInt()(6,0)
[warn]                                                              ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/rocket/Frontend.scala:254:46: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]         btb.io.ras_update.valid := fq.io.enq.fire() && !wrong_path && (prevRVI && (rviCall || rviReturn) || valid && (rvcCall || rvcReturn))
[warn]                                              ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/rocket/Frontend.scala:261:27: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]           when (fq.io.enq.fire() && taken && !predictBranch && !predictJump && !predictReturn) {
[warn]                           ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/rocket/Frontend.scala:276:49: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]           btb.io.bht_advance.valid := fq.io.enq.fire() && !wrong_path
[warn]                                                 ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/rocket/Frontend.scala:285:25: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]         when (fq.io.enq.fire()) {
[warn]                         ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/rocket/Frontend.scala:300:44: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]       btb.io.btb_update.valid := fq.io.enq.fire() && !wrong_path && fetch_bubble_likely && updateBTB
[warn]                                            ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/rocket/Frontend.scala:314:21: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]     when (fq.io.enq.fire() && (s2_btb_taken || taken)) {
[warn]                     ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/rocket/Frontend.scala:322:25: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]         when (fq.io.enq.fire()) { s2_redirect := true }
[warn]                         ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/rocket/Frontend.scala:336:19: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   when (fq.io.enq.fire() && s2_tlb_resp.gf.inst) {
[warn]                   ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/rocket/Frontend.scala:350:37: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   io.cpu.perf.tlbMiss := io.ptw.req.fire()
[warn]                                     ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/rocket/HellaCache.scala:331:50: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   io.resp := tag_array.read(io.read.bits.idx, io.read.fire()).map(rstVal.fromBits(_))
[warn]                                                  ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/rocket/ICache.scala:160:35: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   val s0_slaveValid = tl_in.map(_.a.fire()).getOrElse(false.B)
[warn]                                   ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/rocket/ICache.scala:165:21: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   val s0_valid = io.req.fire()
[warn]                     ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/rocket/ICache.scala:179:28: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   val refill_fire = tl_out.a.fire() && !send_hint
[warn]                            ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/rocket/ICache.scala:188:32: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   val refill_one_beat = tl_out.d.fire() && edge_out.hasData(tl_out.d.bits)
[warn]                                ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/rocket/ICache.scala:227:33: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   io.errors.bus.valid := tl_out.d.fire() && (tl_out.d.bits.denied || tl_out.d.bits.corrupt)
[warn]                                 ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/rocket/ICache.scala:425:18: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]     when (tl_out.a.fire()) {
[warn]                  ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/rocket/ICache.scala:435:18: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]     when (tl_out.d.fire() && !refill_one_beat) {
[warn]                  ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/rocket/ICache.scala:449:36: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]     ccover(refill_valid && (tl_out.d.fire() && !refill_one_beat), "PREFETCH_D_BEFORE_MISS_D", "I$ prefetch resolves before miss")
[warn]                                    ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/rocket/ICache.scala:450:37: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]     ccover(!refill_valid && (tl_out.d.fire() && !refill_one_beat), "PREFETCH_D_AFTER_MISS_D", "I$ prefetch resolves after miss")
[warn]                                     ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/rocket/ICache.scala:451:19: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]     ccover(tl_out.a.fire() && hint_outstanding, "PREFETCH_D_AFTER_MISS_A", "I$ prefetch resolves after second miss")
[warn]                   ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/rocket/Multiplier.scala:160:12: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   when (io.resp.fire() || io.kill) {
[warn]            ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/rocket/Multiplier.scala:163:12: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   when (io.req.fire()) {
[warn]            ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/rocket/NBDcache.scala:114:12: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   when (io.req.fire()) {
[warn]            ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/rocket/NBDcache.scala:119:12: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   when (io.mem_access.fire()) {
[warn]            ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/rocket/NBDcache.scala:130:12: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   when (io.resp.fire()) {
[warn]            ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/rocket/NBDcache.scala:207:12: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   when (io.mem_acquire.fire()) { // s_refill_req
[warn]            ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/rocket/NBDcache.scala:216:12: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   when (io.wb_req.fire()) { // s_wb_req
[warn]            ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/rocket/NBDcache.scala:265:12: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   when (io.meta_write.fire()) { meta_hazard := 1 }
[warn]            ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/rocket/NBDcache.scala:433:21: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   val free_sdq = io.replay.fire() && isWrite(io.replay.bits.cmd)
[warn]                     ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/rocket/NBDcache.scala:463:14: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]     when (io.data_req.fire() && io.meta_read.fire()) {
[warn]              ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/rocket/NBDcache.scala:463:36: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]     when (io.data_req.fire() && io.meta_read.fire()) {
[warn]                                    ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/rocket/NBDcache.scala:480:12: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   when (io.req.fire()) {
[warn]            ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/rocket/NBDcache.scala:573:12: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   when (io.req.fire()) {
[warn]            ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/rocket/NBDcache.scala:579:12: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   when (io.meta_read.fire()) {
[warn]            ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/rocket/NBDcache.scala:604:12: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   when (io.wb_req.fire()) {
[warn]            ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/rocket/NBDcache.scala:613:12: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   when (io.meta_write.fire()) {
[warn]            ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/rocket/NBDcache.scala:696:34: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   val s1_valid = Reg(next=io.cpu.req.fire(), init=Bool(false))
[warn]                                  ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/rocket/NBDcache.scala:884:18: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   when (mshrs.io.req.fire()) { replacer.miss }
[warn]                  ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/rocket/NBDcache.scala:908:38: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   mshrs.io.mem_grant.valid := tl_out.d.fire()
[warn]                                      ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/rocket/NBDcache.scala:1013:33: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   io.cpu.perf.tlbMiss := io.ptw.req.fire()
[warn]                                 ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/rocket/PTW.scala:318:45: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]     val s0_valid = !l2_refill && arb.io.out.fire()
[warn]                                             ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/rocket/PTW.scala:415:24: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]       when (arb.io.out.fire()) {
[warn]                        ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/rocket/PTW.scala:496:20: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]     Mux(arb.io.out.fire(), Mux(arb.io.out.bits.bits.stage2, makeHypervisorRootPTE(io.dpath.hgatp, io.dpath.vsatp.ppn, r_pte), makePTE(satp.ppn, r_pte)),
[warn]                    ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/rocket/RocketCore.scala:607:56: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   div.io.kill := killm_common && Reg(next = div.io.req.fire())
[warn]                                                        ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/rocket/RocketCore.scala:679:40: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   val ll_wen = Wire(init = div.io.resp.fire())
[warn]                                        ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/rocket/RocketCore.scala:682:24: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]     when (io.rocc.resp.fire()) {
[warn]                        ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/rocket/RocketCore.scala:770:22: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]     else div.io.resp.fire() && div.io.resp.bits.tag === r || dmem_resp_replay && dmem_resp_xpu && dmem_resp_waddr === r
[warn]                      ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/rocket/ScratchpadSlavePort.scala:50:17: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]     when (tl_in.d.fire()) { state := s_ready }
[warn]                 ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/rocket/ScratchpadSlavePort.scala:55:17: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]     when (tl_in.a.fire()) { acq := tl_in.a.bits }
[warn]                 ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/rocket/SimpleHellaCacheIF.scala:81:34: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   inflight := (inflight | Mux(io.req.fire(), next_inflight_onehot, UInt(0))) &
[warn]                                  ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/rocket/SimpleHellaCacheIF.scala:84:12: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   when (io.req.fire()) {
[warn]            ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/rocket/SimpleHellaCacheIF.scala:89:12: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   when (io.replay.fire()) { replaying := Bool(true) }
[warn]            ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/rocket/SimpleHellaCacheIF.scala:116:30: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   val s0_req_fire = io.cache.req.fire()
[warn]                              ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/rocket/TLB.scala:451:17: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]     when(io.ptw.req.fire() && io.ptw.req.bits.valid) {
[warn]                 ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/rocket/TLB.scala:457:14: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]     when (io.req.fire() && tlb_miss) {
[warn]              ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/rocket/TLB.scala:492:13: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]     when(io.req.fire() && vsatp_mode_mismatch) {
[warn]             ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/rocket/TLB.scala:500:19: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]     ccover(io.ptw.req.fire(), "MISS", "TLB miss")
[warn]                   ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/stage/phases/AddDefaultTests.scala:26:42: trait PreservesAll in package options is deprecated (since FIRRTL 1.4): Use an explicit `override def invalidates` returning false. This will be removed in FIRRTL 1.5.
[warn] class AddDefaultTests extends Phase with PreservesAll[Phase] with HasRocketChipStageUtils {
[warn]                                          ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/stage/phases/Checks.scala:13:33: trait PreservesAll in package options is deprecated (since FIRRTL 1.4): Use an explicit `override def invalidates` returning false. This will be removed in FIRRTL 1.5.
[warn] class Checks extends Phase with PreservesAll[Phase] {
[warn]                                 ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/stage/phases/GenerateArtefacts.scala:12:44: trait PreservesAll in package options is deprecated (since FIRRTL 1.4): Use an explicit `override def invalidates` returning false. This will be removed in FIRRTL 1.5.
[warn] class GenerateArtefacts extends Phase with PreservesAll[Phase] with HasRocketChipStageUtils {
[warn]                                            ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/stage/phases/GenerateFirrtlAnnos.scala:13:46: trait PreservesAll in package options is deprecated (since FIRRTL 1.4): Use an explicit `override def invalidates` returning false. This will be removed in FIRRTL 1.5.
[warn] class GenerateFirrtlAnnos extends Phase with PreservesAll[Phase] with HasRocketChipStageUtils {
[warn]                                              ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/stage/phases/GenerateROMs.scala:14:39: trait PreservesAll in package options is deprecated (since FIRRTL 1.4): Use an explicit `override def invalidates` returning false. This will be removed in FIRRTL 1.5.
[warn] class GenerateROMs extends Phase with PreservesAll[Phase] with HasRocketChipStageUtils {
[warn]                                       ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/stage/phases/GenerateTestSuiteMakefrags.scala:13:53: trait PreservesAll in package options is deprecated (since FIRRTL 1.4): Use an explicit `override def invalidates` returning false. This will be removed in FIRRTL 1.5.
[warn] class GenerateTestSuiteMakefrags extends Phase with PreservesAll[Phase] with HasRocketChipStageUtils {
[warn]                                                     ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/stage/phases/PreElaboration.scala:18:41: trait PreservesAll in package options is deprecated (since FIRRTL 1.4): Use an explicit `override def invalidates` returning false. This will be removed in FIRRTL 1.5.
[warn] class PreElaboration extends Phase with PreservesAll[Phase] with HasRocketChipStageUtils {
[warn]                                         ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/stage/phases/TransformAnnotations.scala:13:47: trait PreservesAll in package options is deprecated (since FIRRTL 1.4): Use an explicit `override def invalidates` returning false. This will be removed in FIRRTL 1.5.
[warn] class TransformAnnotations extends Phase with PreservesAll[Phase] with HasRocketChipStageUtils {
[warn]                                               ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/system/RocketChipStageGenerator.scala:28:42: trait PreservesAll in package options is deprecated (since FIRRTL 1.4): Use an explicit `override def invalidates` returning false. This will be removed in FIRRTL 1.5.
[warn] class RocketChipStage extends Stage with PreservesAll[Phase] {
[warn]                                          ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/tile/FPU.scala:757:31: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   val ex_cp_valid = io.cp_req.fire()
[warn]                               ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/tile/LazyRoCC.scala:143:9: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   when (cmd.fire() && (doWrite || doAccum)) {
[warn]         ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/tile/LazyRoCC.scala:153:16: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   when (io.mem.req.fire()) {
[warn]                ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/tile/LazyRoCC.scala:208:12: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   when (io.cmd.fire()) {
[warn]            ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/tile/LazyRoCC.scala:216:13: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   when (ptw.req.fire()) { state := s_ptw_resp }
[warn]             ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/tile/LazyRoCC.scala:223:12: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   when (io.resp.fire()) { state := s_idle }
[warn]            ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/tile/LazyRoCC.scala:292:12: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   when (io.cmd.fire()) {
[warn]            ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/tile/LazyRoCC.scala:301:16: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   when (tl_out.a.fire()) { state := s_gnt }
[warn]                ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/tile/LazyRoCC.scala:303:16: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   when (tl_out.d.fire()) {
[warn]                ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/tile/LazyRoCC.scala:322:12: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   when (io.resp.fire()) { state := s_idle }
[warn]            ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/tilelink/AddressAdjuster.scala:284:33: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]         when (a_first && parent.a.fire() && a_adjustable) { flight(parent.a.bits.source) := true.B  }
[warn]                                 ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/tilelink/AddressAdjuster.scala:285:33: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]         when (d_first && parent.d.fire())                 { flight(parent.d.bits.source) := false.B }
[warn]                                 ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/tilelink/AddressAdjuster.scala:289:57: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]           val local = RegEnable(a_dynamic_local, parent.a.fire() && a_sel)
[warn]                                                         ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/tilelink/Arbiter.scala:179:9: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   when (sink.fire()) {
[warn]         ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/tilelink/Arbiter.scala:191:12: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]     when (!sink.fire()) { printf("TestRobin: idle (%d %d)\n", valid, ready) }
[warn]            ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/tilelink/Arbiter.scala:206:9: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   when (sink.fire()) { (0 until numSources).foreach(assertLowest(_)) }
[warn]         ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/tilelink/Arbiter.scala:221:9: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   when (sink.fire()) { (0 until numSources).foreach(assertHighest(_)) }
[warn]         ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/tilelink/AtomicAutomata.scala:174:15: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]         when (source_i.fire() && !a_isSupported) {
[warn]               ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/tilelink/AtomicAutomata.scala:194:15: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]         when (source_c.fire()) {
[warn]               ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/tilelink/AtomicAutomata.scala:216:19: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]         when (out.d.fire() && d_first) {
[warn]                   ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/tilelink/Broadcast.scala:113:40: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]         tracker.e_last := select && in.e.fire()
[warn]                                        ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/tilelink/Broadcast.scala:142:37: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]         tracker.d_last := select && d_normal.fire() && d_response && d_last
[warn]                                     ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/tilelink/Broadcast.scala:143:44: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]         tracker.probedack := select && out.d.fire() && d_drop
[warn]                                            ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/tilelink/Broadcast.scala:170:28: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]       val clearOH = Mux(in.c.fire() && (c_probeack || c_probeackdata) && CisN, whoC, 0.U)
[warn]                            ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/tilelink/Broadcast.scala:175:33: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]         tracker.probenack := in.c.fire() && c_probeack && select
[warn]                                 ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/tilelink/Broadcast.scala:176:33: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]         tracker.probesack := in.c.fire() && select && (c_probeack || c_probeackdata) && (
[warn]                                 ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/tilelink/Broadcast.scala:227:16: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]       when (in.b.fire()) { probe_todo := probe_todo & ~probe_next }
[warn]                ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/tilelink/Broadcast.scala:260:23: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]       when (filter.io.response.fire()) {
[warn]                       ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/tilelink/Broadcast.scala:270:28: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]       val sack = filter.io.response.fire() && leaveB && others =/= 0.U
[warn]                            ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/tilelink/Broadcast.scala:272:42: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]         tracker.probe.valid := filter.io.response.fire() && select
[warn]                                          ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/tilelink/Broadcast.scala:353:67: type MultiIOModule in package chisel3 is deprecated (since Chisel 3.5): MultiIOModule is now just Module
[warn] abstract class ProbeFilter(val params: ProbeFilterParams) extends MultiIOModule {
[warn]                                                                   ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/tilelink/Broadcast.scala:437:12: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   when (io.in_a.fire() && io.in_a_first) {
[warn]            ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/tilelink/CacheCork.scala:118:34: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]         pool.io.free.valid := in.e.fire()
[warn]                                  ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/tilelink/CacheCork.scala:124:35: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]         pool.io.alloc.ready := in.d.fire() && d_first && d_grant
[warn]                                   ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/tilelink/CacheCork.scala:143:18: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]         when (in.a.fire()) {
[warn]                  ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/tilelink/Edges.scala:241:62: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   def first(x: DecoupledIO[TLChannel]): Bool = first(x.bits, x.fire())
[warn]                                                              ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/tilelink/Edges.scala:245:60: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   def last(x: DecoupledIO[TLChannel]): Bool = last(x.bits, x.fire())
[warn]                                                            ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/tilelink/Edges.scala:249:60: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   def done(x: DecoupledIO[TLChannel]): Bool = done(x.bits, x.fire())
[warn]                                                            ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/tilelink/Edges.scala:256:84: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   def firstlast(x: DecoupledIO[TLChannel]): (Bool, Bool, Bool) = firstlast(x.bits, x.fire())
[warn]                                                                                    ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/tilelink/Edges.scala:263:82: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   def count(x: DecoupledIO[TLChannel]): (Bool, Bool, Bool, UInt) = count(x.bits, x.fire())
[warn]                                                                                  ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/tilelink/Edges.scala:270:88: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   def addr_inc(x: DecoupledIO[TLChannel]): (Bool, Bool, Bool, UInt) = addr_inc(x.bits, x.fire())
[warn]                                                                                        ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/tilelink/Edges.scala:309:19: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]     val a_inc = x.a.fire() && a_first && a_request
[warn]                   ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/tilelink/Edges.scala:310:19: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]     val b_inc = x.b.fire() && b_first && b_request
[warn]                   ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/tilelink/Edges.scala:311:19: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]     val c_inc = x.c.fire() && c_first && c_request
[warn]                   ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/tilelink/Edges.scala:312:19: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]     val d_inc = x.d.fire() && d_first && d_request
[warn]                   ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/tilelink/Edges.scala:313:19: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]     val e_inc = x.e.fire() && e_first && e_request
[warn]                   ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/tilelink/Edges.scala:316:19: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]     val a_dec = x.a.fire() && a_last && a_response
[warn]                   ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/tilelink/Edges.scala:317:19: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]     val b_dec = x.b.fire() && b_last && b_response
[warn]                   ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/tilelink/Edges.scala:318:19: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]     val c_dec = x.c.fire() && c_last && c_response
[warn]                   ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/tilelink/Edges.scala:319:19: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]     val d_dec = x.d.fire() && d_last && d_response
[warn]                   ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/tilelink/Edges.scala:320:19: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]     val e_dec = x.e.fire() && e_last && e_response
[warn]                   ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/tilelink/ErrorEvaluator.scala:53:16: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]       when (in.a.fire()) { inject_map.write(in.a.bits.source, inject_now) }
[warn]                ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/tilelink/ErrorEvaluator.scala:55:64: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]       val bypass = Bool(edgeOut.manager.minLatency == 0) && in.a.fire() && in.a.bits.source === in.d.bits.source
[warn]                                                                ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/tilelink/ErrorEvaluator.scala:62:17: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]       when (out.d.fire()) { r_detect := d_detect }
[warn]                 ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/tilelink/ErrorEvaluator.scala:65:38: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]       assert (Bool(!testOn)  || !out.d.fire() || !d_last || !d_inject ||  d_detect || d_hint, "Denied/Corrupt flag was not set!")
[warn]                                      ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/tilelink/ErrorEvaluator.scala:66:38: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]       assert (Bool(!testOff) || !out.d.fire() || !d_last ||  d_inject || !d_detect, "Denied/Corrupt flag was set!")
[warn]                                      ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/tilelink/FIFOFixer.scala:72:27: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]       when (a_first && in.a.fire()) { flight(in.a.bits.source) := !a_notFIFO }
[warn]                           ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/tilelink/FIFOFixer.scala:73:27: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]       when (d_first && in.d.fire()) { flight(in.d.bits.source) := Bool(false) }
[warn]                           ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/tilelink/FIFOFixer.scala:77:40: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]         val id    = RegEnable(a_id, in.a.fire() && a_sel && !a_notFIFO)
[warn]                                        ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/tilelink/FIFOFixer.scala:111:27: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]       when (a_first && in.a.fire() && !a_notFIFO)  {
[warn]                           ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/tilelink/FIFOFixer.scala:114:27: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]       when (d_first && in.d.fire())  {
[warn]                           ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/tilelink/Fragmenter.scala:208:19: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]         when (out.d.fire()) {
[warn]                   ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/tilelink/Fragmenter.scala:232:21: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]           when (out.d.fire()) { r_denied := d_denied }
[warn]                     ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/tilelink/Fragmenter.scala:300:19: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]         when (out.a.fire()) { gennum := new_gennum }
[warn]                   ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/tilelink/Fuzzer.scala:29:12: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   when (io.alloc.fire()) { clr := UIntToOH(io.alloc.bits) }
[warn]            ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/tilelink/Fuzzer.scala:32:12: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   when (io.free.fire()) { set := UIntToOH(io.free.bits) }
[warn]            ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/tilelink/Fuzzer.scala:201:43: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]     idMap.io.free.valid := d_first && out.d.fire()
[warn]                                           ^
[warn] /home/centos/firesim/target-design/chipyard/generators/rocket-chip/src/main/scala/tilelink/[warn] there were 2660 feature warnings; re-run with -feature for details
[warn] 339 warnings found
[info] compiling 3 Scala sources to /home/centos/firesim/target-design/chipyard/generators/ibex/target/scala-2.12/classes ...
[info] compiling 3 Scala sources to /home/centos/firesim/target-design/chipyard/generators/cva6/target/scala-2.12/classes ...
[info] compiling 10 Scala sources to /home/centos/firesim/target-design/chipyard/generators/sha3/target/scala-2.12/classes ...
[info] compiling 4 Scala sources to /home/centos/firesim/target-design/chipyard/generators/nvdla/target/scala-2.12/classes ...
[info] compiling 23 Scala sources to /home/centos/firesim/target-design/chipyard/generators/sifive-cache/target/scala-2.12/classes ...
[info] compiling 43 Scala sources to /home/centos/firesim/target-design/chipyard/generators/riscv-sodor/target/scala-2.12/classes ...
[info] compiling 41 Scala sources to /home/centos/firesim/target-design/chipyard/tools/rocket-dsp-utils/src/target/scala-2.12/classes ...
[info] compiling 81 Scala sources to /home/centos/firesim/target-design/chipyard/generators/sifive-blocks/target/scala-2.12/classes ...
[info] compiling 53 Scala sources to /home/centos/firesim/target-design/chipyard/generators/hwacha/target/scala-2.12/classes ...
[warn] /home/centos/firesim/target-design/chipyard/generators/nvdla/src/main/scala/devices/nvdla/Periphery.scala:17:10: method fromMaster in trait CanAttachTLMasters is deprecated (since rocket-chip 1.3): Replace with e.g. bus.coupleFrom(s"master_named_${name}"){ _ :=* TLFIFOFixer(TLFIFOFixer.all) :=* master.node }
[warn]     fbus.fromMaster(name = Some("nvdla_dbb"), buffer = BufferParams.default) {
[warn]          ^
[warn] /home/centos/firesim/target-design/chipyard/generators/nvdla/src/main/scala/devices/nvdla/Periphery.scala:21:10: method toFixedWidthSingleBeatSlave in trait CanAttachTLSlaves is deprecated (since rocket-chip 1.3): Replace with e.g. bus.coupleTo(s"slave_named_${name}"){ slave.controlXing(NoCrossing) :*= TLFragmenter(widthBytes, bus.blockBytes) :*= TLWidthWidget(bus.beatBytes) :*= _
[warn]     pbus.toFixedWidthSingleBeatSlave(4, Some("nvdla_cfg")) { nvdla.cfg_tl_node }
[warn]          ^
[warn] /home/centos/firesim/target-design/chipyard/generators/ibex/src/main/scala/IbexTile.scala:169:53: method hartId in trait HasNonDiplomaticTileParameters is deprecated (since rocket-chip 1.3): use hartIdSinkNodeOpt.map(_.bundle) or staticIdForMetadataUseOnly
[warn]     Resource(cpuDevice, "reg").bind(ResourceAddress(hartId))
[warn]                                                     ^
[warn] /home/centos/firesim/target-design/chipyard/generators/ibex/src/main/scala/IbexTile.scala:237:43: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   when (dmem_state === s_active && dmem.a.fire()) {
[warn]                                           ^
[warn] /home/centos/firesim/target-design/chipyard/generators/ibex/src/main/scala/IbexTile.scala:240:45: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   when (dmem_state === s_inflight && dmem.d.fire()) {
[warn]                                             ^
[warn] /home/centos/firesim/target-design/chipyard/generators/ibex/src/main/scala/IbexTile.scala:270:43: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   when (imem_state === s_active && imem.a.fire()) {
[warn]                                           ^
[warn] /home/centos/firesim/target-design/chipyard/generators/ibex/src/main/scala/IbexTile.scala:273:45: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   when (imem_state === s_inflight && imem.d.fire()) {
[warn]                                             ^
[warn] there were 62 feature warnings; re-run with -feature for details
[warn] three warnings found
[warn] there were 36 feature warnings; re-run with -feature for details
[warn] 6 warnings found
[warn] there were 61 feature warnings; re-run with -feature for details
[warn] one warning found
[warn] /home/centos/firesim/target-design/chipyard/generators/sha3/src/main/scala/chi.scala:27:44: object PeekPokeTester in package iotesters is deprecated (since chisel-iotesters 2.5.0): chisel-iotesters is end-of-life. Use chiseltest instead, see https://www.chisel-lang.org/chiseltest/migrating-from-iotesters.
[warn] class ChiModuleTests(c: ChiModule) extends PeekPokeTester(c) {
[warn]                                            ^
[warn] /home/centos/firesim/target-design/chipyard/generators/sha3/src/main/scala/iota.scala:44:46: object PeekPokeTester in package iotesters is deprecated (since chisel-iotesters 2.5.0): chisel-iotesters is end-of-life. Use chiseltest instead, see https://www.chisel-lang.org/chiseltest/migrating-from-iotesters.
[warn] class IotaModuleTests(c: IotaModule) extends PeekPokeTester(c) {
[warn]                                              ^
[warn] /home/centos/firesim/target-design/chipyard/generators/sha3/src/main/scala/sha3.scala:119:55: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]     val status = RegEnable(io.cmd.bits.status, io.cmd.fire())
[warn]                                                       ^
[warn] /home/centos/firesim/target-design/chipyard/tools/rocket-dsp-utils/src/main/scala/freechips/rocketchip/amba/axi4stream/SimpleSplitter.scala:4:46: imported `AXI4StreamMasterPortParameters' is permanently hidden by definition of object AXI4StreamMasterPortParameters in package axi4stream
[warn] import freechips.rocketchip.amba.axi4stream.{AXI4StreamMasterPortParameters, AXI4StreamNexusNode, AXI4StreamSlavePortParameters}
[warn]                                              ^
[warn] /home/centos/firesim/target-design/chipyard/tools/rocket-dsp-utils/src/main/scala/freechips/rocketchip/amba/axi4stream/SimpleSplitter.scala:4:78: imported `AXI4StreamNexusNode' is permanently hidden by definition of object AXI4StreamNexusNode in package axi4stream
[warn] import freechips.rocketchip.amba.axi4stream.{AXI4StreamMasterPortParameters, AXI4StreamNexusNode, AXI4StreamSlavePortParameters}
[warn]                                                                              ^
[warn] /home/centos/firesim/target-design/chipyard/tools/rocket-dsp-utils/src/main/scala/freechips/rocketchip/amba/axi4stream/SimpleSplitter.scala:4:99: imported `AXI4StreamSlavePortParameters' is permanently hidden by definition of object AXI4StreamSlavePortParameters in package axi4stream
[warn] import freechips.rocketchip.amba.axi4stream.{AXI4StreamMasterPortParameters, AXI4StreamNexusNode, AXI4StreamSlavePortParameters}
[warn]                                                                                                   ^
[warn] there were 220 feature warnings; re-run with -feature for details
[warn] four warnings found
[warn] /home/centos/firesim/target-design/chipyard/tools/rocket-dsp-utils/src/main/scala/freechips/rocketchip/amba/axi4stream/CrossingHelper.scala:8:99: match may not be exhaustive.
[warn] It would fail on the following input: CreditedCrossing(_, _)
[warn]   def apply(xing: ClockCrossingType = NoCrossing)(implicit p: Parameters): AXI4StreamInwardNode = xing match {
[warn]                                                                                                   ^
[warn] /home/centos/firesim/target-design/chipyard/tools/rocket-dsp-utils/src/main/scala/freechips/rocketchip/amba/axi4stream/CrossingHelper.scala:21:100: match may not be exhaustive.
[warn] It would fail on the following input: CreditedCrossing(_, _)
[warn]   def apply(xing: ClockCrossingType = NoCrossing)(implicit p: Parameters): AXI4StreamOutwardNode = xing match {
[warn]                                                                                                    ^
[info] /home/centos/firesim/target-design/chipyard/tools/rocket-dsp-utils/src/main/scala/freechips/rocketchip/amba/axi4stream/MMAPFIFO.scala:1:1:
[info] Found names but no class, trait or object is defined in the compilation unit.
[info] The incremental compiler cannot record the dependency information in such case.
[info] Some errors like unused import referring to a non-existent class might not be reported.
[info]
[info] package freechips.rocketchip.amba.axi4stream
[info] ^
[warn] /home/centos/firesim/target-design/chipyard/generators/sifive-cache/design/craft/inclusivecache/src/InclusiveCache.scala:77:24: method apply in object TLClientPortParameters is deprecated: Use TLMasterPortParameters.v1 instead of TLClientPortParameters
[warn]     clientFn  = { _ => TLClientPortParameters(Seq(TLClientParameters(
[warn]                        ^
[warn] /home/centos/firesim/target-design/chipyard/generators/sifive-cache/design/craft/inclusivecache/src/InclusiveCache.scala:77:51: method apply in object TLClientParameters is deprecated: Use TLMasterParameters.v1 instead of TLClientParameters
[warn]     clientFn  = { _ => TLClientPortParameters(Seq(TLClientParameters(
[warn]                                                   ^
[warn] /home/centos/firesim/target-design/chipyard/generators/sifive-cache/design/craft/inclusivecache/src/InclusiveCache.scala:82:24: method apply in object TLManagerPortParameters is deprecated: Use TLSlavePortParameters.v1 instead of TLManagerPortParameters
[warn]     managerFn = { m => TLManagerPortParameters(
[warn]                        ^
[warn] /home/centos/firesim/target-design/chipyard/generators/sifive-cache/design/craft/inclusivecache/src/InclusiveCache.scala:83:42: method copy in class TLSlaveParameters is deprecated: Use v1copy instead of copy
[warn]       managers = m.managers.map { m => m.copy(
[warn]                                          ^
[warn] /home/centos/firesim/target-design/chipyard/generators/sifive-cache/design/craft/inclusivecache/src/ListBuffer.scala:64:17: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   when (io.push.fire()) {
[warn]                 ^
[warn] /home/centos/firesim/target-design/chipyard/generators/sifive-cache/design/craft/inclusivecache/src/ListBuffer.scala:84:19: method fire in class Valid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   assert (!io.pop.fire() || (io.valid)(io.pop.bits))
[warn]                   ^
[warn] /home/centos/firesim/target-design/chipyard/generators/sifive-cache/design/craft/inclusivecache/src/ListBuffer.scala:86:16: method fire in class Valid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   when (io.pop.fire()) {
[warn]                ^
[warn] /home/centos/firesim/target-design/chipyard/generators/sifive-cache/design/craft/inclusivecache/src/ListBuffer.scala:91:41: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]     head.write(io.pop.bits, Mux(io.push.fire() && push_valid && push_tail === pop_head, freeIdx, next.read(pop_head)))
[warn]                                         ^
[warn] /home/centos/firesim/target-design/chipyard/generators/sifive-cache/design/craft/inclusivecache/src/MSHR.scala:530:55: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]     assert (!request_valid || (no_wait && io.schedule.fire()))
[warn]                                                       ^
[warn] /home/centos/firesim/target-design/chipyard/generators/sifive-cache/design/craft/inclusivecache/src/SinkA.scala:103:39: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   putbuffer.io.pop.valid := io.pb_pop.fire()
[warn]                                       ^
[warn] /home/centos/firesim/target-design/chipyard/generators/sifive-cache/design/craft/inclusivecache/src/SinkA.scala:107:19: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   when (io.pb_pop.fire() && io.pb_pop.bits.last) {
[warn]                   ^
[warn] /home/centos/firesim/target-design/chipyard/generators/sifive-cache/design/craft/inclusivecache/src/SinkC.scala:92:58: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]     io.bs_dat.data   := RegEnable(c.bits.data,    bs_adr.fire())
[warn]                                                          ^
[warn] /home/centos/firesim/target-design/chipyard/generators/sifive-cache/design/craft/inclusivecache/src/SinkC.scala:153:42: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]     putbuffer.io.pop.valid := io.rel_pop.fire()
[warn]                                          ^
[warn] /home/centos/firesim/target-design/chipyard/generators/sifive-cache/design/craft/inclusivecache/src/SinkC.scala:157:22: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]     when (io.rel_pop.fire() && io.rel_pop.bits.last) {
[warn]                      ^
[warn] /home/centos/firesim/target-design/chipyard/generators/sifive-cache/design/craft/inclusivecache/src/SinkD.scala:61:41: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   io.resp.valid := (first || last) && d.fire()
[warn]                                         ^
[warn] /home/centos/firesim/target-design/chipyard/generators/sifive-cache/design/craft/inclusivecache/src/SourceB.scala:60:18: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]     when (io.req.fire()) { remain_set := io.req.bits.clients }
[warn]                  ^
[warn] /home/centos/firesim/target-design/chipyard/generators/sifive-cache/design/craft/inclusivecache/src/SourceB.scala:67:13: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]     when (b.fire()) { remain_clr := next }
[warn]             ^
[warn] /home/centos/firesim/target-design/chipyard/generators/sifive-cache/design/craft/inclusivecache/src/SourceB.scala:70:77: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]     val tag = Mux(!busy, io.req.bits.tag, RegEnable(io.req.bits.tag, io.req.fire()))
[warn]                                                                             ^
[warn] /home/centos/firesim/target-design/chipyard/generators/sifive-cache/design/craft/inclusivecache/src/SourceB.scala:71:77: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]     val set = Mux(!busy, io.req.bits.set, RegEnable(io.req.bits.set, io.req.fire()))
[warn]                                                                             ^
[warn] /home/centos/firesim/target-design/chipyard/generators/sifive-cache/design/craft/inclusivecache/src/SourceB.scala:72:83: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]     val param = Mux(!busy, io.req.bits.param, RegEnable(io.req.bits.param, io.req.fire()))
[warn]                                                                                   ^
[warn] /home/centos/firesim/target-design/chipyard/generators/sifive-cache/design/craft/inclusivecache/src/SourceC.scala:59:22: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   when (queue.io.enq.fire() =/= queue.io.deq.fire()) {
[warn]                      ^
[warn] /home/centos/firesim/target-design/chipyard/generators/sifive-cache/design/craft/inclusivecache/src/SourceC.scala:59:46: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   when (queue.io.enq.fire() =/= queue.io.deq.fire()) {
[warn]                                              ^
[warn] /home/centos/firesim/target-design/chipyard/generators/sifive-cache/design/craft/inclusivecache/src/SourceC.scala:60:37: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]     fill := fill + Mux(queue.io.enq.fire(), UInt(1), ~UInt(0, width = fillBits))
[warn]                                     ^
[warn] /home/centos/firesim/target-design/chipyard/generators/sifive-cache/design/craft/inclusivecache/src/SourceC.scala:61:90: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]     room := fill === UInt(0) || ((fill === UInt(1) || fill === UInt(2)) && !queue.io.enq.fire())
[warn]                                                                                          ^
[warn] /home/centos/firesim/target-design/chipyard/generators/sifive-cache/design/craft/inclusivecache/src/SourceC.scala:87:19: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   when (io.bs_adr.fire()) {
[warn]                   ^
[warn] /home/centos/firesim/target-design/chipyard/generators/sifive-cache/design/craft/inclusivecache/src/SourceC.scala:92:43: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   val s2_latch = Mux(want_data, io.bs_adr.fire(), io.req.fire())
[warn]                                           ^
[warn] /home/centos/firesim/target-design/chipyard/generators/sifive-cache/design/craft/inclusivecache/src/SourceC.scala:92:58: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   val s2_latch = Mux(want_data, io.bs_adr.fire(), io.req.fire())
[warn]                                                          ^
[warn] /home/centos/firesim/target-design/chipyard/generators/sifive-cache/design/craft/inclusivecache/src/SourceD.scala:120:52: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   queue.io.enq.valid := RegNext(RegNext(io.bs_radr.fire()))
[warn]                                                    ^
[warn] /home/centos/firesim/target-design/chipyard/generators/sifive-cache/design/craft/inclusivecache/src/SourceD.scala:126:20: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   when (io.bs_radr.fire()) { s1_block_r := Bool(true) }
[warn]                    ^
[warn] /home/centos/firesim/target-design/chipyard/generators/sifive-blocks/src/main/scala/util/DFT.scala:25:49: non-variable type argument chisel3.Bundle in type pattern sifive.blocks.util.HasDeviceDFTPorts[chisel3.Bundle] is unchecked since it is eliminated by erasure
[warn]   val dftNodes = devices.collect { case source: HasDeviceDFTPorts[Bundle] => source }
[warn]                                                 ^
[warn] /home/centos/firesim/target-design/chipyard/tools/rocket-dsp-utils/src/main/scala/dspblocks/DspBlock.scala:117:24: method apply in object TLClientPortParameters is deprecated: Use TLMasterPortParameters.v1 instead of TLClientPortParameters
[warn]       BundleBridgeToTL(TLClientPortParameters(Seq(TLClientParameters("bundleBridgeToTL")))) :=
[warn]                        ^
[warn] /home/centos/firesim/target-design/chipyard/tools/rocket-dsp-utils/src/main/scala/dspblocks/DspBlock.scala:117:51: method apply in object TLClientParameters is deprecated: Use TLMasterParameters.v1 instead of TLClientParameters
[warn]       BundleBridgeToTL(TLClientPortParameters(Seq(TLClientParameters("bundleBridgeToTL")))) :=
[warn]                                                   ^
[warn] /home/centos/firesim/target-design/chipyard/tools/rocket-dsp-utils/src/main/scala/dspblocks/DspQueue.scala:38:43: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   queueEntries := queueEntries + streamIn.fire() - streamOut.fire()
[warn]                                           ^
[warn] /home/centos/firesim/target-design/chipyard/tools/rocket-dsp-utils/src/main/scala/dspblocks/DspQueue.scala:38:62: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   queueEntries := queueEntries + streamIn.fire() - streamOut.fire()
[warn]                                                              ^
[warn] /home/centos/firesim/target-design/chipyard/tools/rocket-dsp-utils/src/main/scala/dspblocks/DspQueue.scala:53:9: class TLRegBundle in package tilelink is deprecated (since rocket-chip 1.3): Use HasTLControlRegMap+HasInterruptSources traits in place of TLRegisterRouter+TLRegBundle+TLRegModule
[warn]     new TLRegBundle(depth, _))(
[warn]         ^
[warn] /home/centos/firesim/target-design/chipyard/tools/rocket-dsp-utils/src/main/scala/dspblocks/DspQueue.scala:62:9: class AXI4RegBundle in package axi4 is deprecated (since rocket-chip 1.3): Use HasAXI4ControlRegMap+HasInterruptSources traits in place of AXI4RegisterRouter+AXI4RegBundle+AXI4RegModule
[warn]     new AXI4RegBundle(depth, _))(
[warn]         ^
[warn] /home/centos/firesim/target-design/chipyard/tools/rocket-dsp-utils/src/main/scala/dspblocks/DspQueue.scala:72:9: class AHBRegBundle in package ahb is deprecated (since rocket-chip 1.3): Use HasAHBControlRegMap+HasInterruptSources traits in place of AHBRegisterRouter+AHBRegBundle+AHBRegModule
[warn]     new AHBRegBundle(depth, _))(
[warn]         ^
[warn] /home/centos/firesim/target-design/chipyard/tools/rocket-dsp-utils/src/main/scala/dspblocks/DspQueue.scala:82:9: class APBRegBundle in package apb is deprecated (since rocket-chip 1.3): Use HasAPBControlRegMap+HasInterruptSources traits in place of APBRegisterRouter+APBRegBundle+APBRegModule
[warn]     new APBRegBundle(depth, _))(
[warn]         ^
[warn] /home/centos/firesim/target-design/chipyard/tools/rocket-dsp-utils/src/main/scala/dspblocks/DspRegister.scala:86:30: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   when (loading && streamOut.fire()) {
[warn]                              ^
[warn] /home/centos/firesim/target-design/chipyard/tools/rocket-dsp-utils/src/main/scala/dspblocks/DspRegister.scala:101:29: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   when (storing && streamIn.fire()) {
[warn]                             ^
[warn] /home/centos/firesim/target-design/chipyard/tools/rocket-dsp-utils/src/main/scala/dspblocks/DspRegister.scala:153:9: class TLRegBundle in package tilelink is deprecated (since rocket-chip 1.3): Use HasTLControlRegMap+HasInterruptSources traits in place of TLRegisterRouter+TLRegBundle+TLRegModule
[warn]     new TLRegBundle(len, _))(
[warn]         ^
[warn] /home/centos/firesim/target-design/chipyard/tools/rocket-dsp-utils/src/main/scala/dspblocks/DspRegister.scala:162:9: class AXI4RegBundle in package axi4 is deprecated (since rocket-chip 1.3): Use HasAXI4ControlRegMap+HasInterruptSources traits in place of AXI4RegisterRouter+AXI4RegBundle+AXI4RegModule
[warn]     new AXI4RegBundle(len, _))(
[warn]         ^
[warn] /home/centos/firesim/target-design/chipyard/tools/rocket-dsp-utils/src/main/scala/freechips/rocketchip/amba/apb/APBMasterModel.scala:9:48: class PeekPokeTester in package iotesters is deprecated (since chisel-iotesters 2.5.0): chisel-iotesters is end-of-life. Use chiseltest instead, see https://www.chisel-lang.org/chiseltest/migrating-from-iotesters.
[warn] trait APBMasterModel extends chisel3.iotesters.PeekPokeTester[MultiIOModule] with MemMasterModel {
[warn]                                                ^
[warn] /home/centos/firesim/target-design/chipyard/tools/rocket-dsp-utils/src/main/scala/freechips/rocketchip/amba/axi4/AXI4MasterModel.scala:66:31: class PeekPokeTester in package iotesters is deprecated (since chisel-iotesters 2.5.0): chisel-iotesters is end-of-life. Use chiseltest instead, see https://www.chisel-lang.org/chiseltest/migrating-from-iotesters.
[warn] trait AXI4MasterModel extends PeekPokeTester[MultiIOModule] with MemMasterModel {
[warn]                               ^
[warn] /home/centos/firesim/target-design/chipyard/tools/rocket-dsp-utils/src/main/scala/freechips/rocketchip/amba/axi4stream/AXI4StreamFuzzer.scala:63:29: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]     val interPacketGo = out.fire() && out.bits.last
[warn]                             ^
[warn] /home/centos/firesim/target-design/chipyard/tools/rocket-dsp-utils/src/main/scala/freechips/rocketchip/amba/axi4stream/AXI4StreamFuzzer.scala:64:29: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]     val intraPacketGo = out.fire() && !out.bits.last
[warn]                             ^
[warn] /home/centos/firesim/target-design/chipyard/tools/rocket-dsp-utils/src/main/scala/freechips/rocketchip/amba/axi4stream/AXI4StreamFuzzer.scala:90:68: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]     val (transactionCounter, transactionCounterDone) = Counter(out.fire(), nTransactions)
[warn]                                                                    ^
[warn] /home/centos/firesim/target-design/chipyard/tools/rocket-dsp-utils/src/main/scala/freechips/rocketchip/amba/axi4stream/AXI4StreamModel.scala:106:64: class PeekPokeTester in package iotesters is deprecated (since chisel-iotesters 2.5.0): chisel-iotesters is end-of-life. Use chiseltest instead, see https://www.chisel-lang.org/chiseltest/migrating-from-iotesters.
[warn] class AXI4StreamPeekPokeMaster(port: AXI4StreamBundle, tester: PeekPokeTester[_]) {
[warn]                                                                ^
[warn] /home/centos/firesim/target-design/chipyard/tools/rocket-dsp-utils/src/main/scala/freechips/rocketchip/amba/axi4stream/AXI4StreamModel.scala:159:63: class PeekPokeTester in package iotesters is deprecated (since chisel-iotesters 2.5.0): chisel-iotesters is end-of-life. Use chiseltest instead, see https://www.chisel-lang.org/chiseltest/migrating-from-iotesters.
[warn] class AXI4StreamPeekPokeSlave(port: AXI4StreamBundle, tester: PeekPokeTester[_]) {
[warn]                                                               ^
[warn] /home/centos/firesim/target-design/chipyard/tools/rocket-dsp-utils/src/main/scala/freechips/rocketchip/amba/axi4stream/AXI4StreamModel.scala:245:37: class PeekPokeTester in package iotesters is deprecated (since chisel-iotesters 2.5.0): chisel-iotesters is end-of-life. Use chiseltest instead, see https://www.chisel-lang.org/chiseltest/migrating-from-iotesters.
[warn] trait AXI4StreamMasterModel extends PeekPokeTester[MultiIOModule] {
[warn]                                     ^
[warn] /home/centos/firesim/target-design/chipyard/tools/rocket-dsp-utils/src/main/scala/freechips/rocketchip/amba/axi4stream/AXI4StreamModel.scala:287:36: class PeekPokeTester in package iotesters is deprecated (since chisel-iotesters 2.5.0): chisel-iotesters is end-of-life. Use chiseltest instead, see https://www.chisel-lang.org/chiseltest/migrating-from-iotesters.
[warn] trait AXI4StreamSlaveModel extends PeekPokeTester[MultiIOModule] {
[warn]                                    ^
[warn] /home/centos/firesim/target-design/chipyard/tools/rocket-dsp-utils/src/main/scala/freechips/rocketchip/amba/axi4stream/DMA.scala:96:16: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   when (io.out.fire()) {
[warn]                ^
[warn] /home/centos/firesim/target-design/chipyard/tools/rocket-dsp-utils/src/main/scala/freechips/rocketchip/amba/axi4stream/DMA.scala:100:15: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   when (io.in.fire()) {
[warn]               ^
[warn] /home/centos/firesim/target-design/chipyard/tools/rocket-dsp-utils/src/main/scala/freechips/rocketchip/amba/axi4stream/DMA.scala:217:36: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]     when (memToStreamSimple.io.out.fire()) {
[warn]                                    ^
[warn] /home/centos/firesim/target-design/chipyard/tools/rocket-dsp-utils/src/main/scala/freechips/rocketchip/amba/axi4stream/DMA.scala:226:36: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]     when (streamToMemSimple.io.out.fire()) {
[warn]                                    ^
[warn] /home/centos/firesim/target-design/chipyard/tools/rocket-dsp-utils/src/main/scala/freechips/rocketchip/amba/axi4stream/DMA.scala:256:17: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]     when (axi.r.fire()) {
[warn]                 ^
[warn] /home/centos/firesim/target-design/chipyard/tools/rocket-dsp-utils/src/main/scala/freechips/rocketchip/amba/axi4stream/DMA.scala:270:35: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]     axi.w.bits.last := Mux(axi.aw.fire(), // check if single beat
[warn]                                   ^
[warn] /home/centos/firesim/target-design/chipyard/tools/rocket-dsp-utils/src/main/scala/freechips/rocketchip/amba/axi4stream/DMA.scala:286:17: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]     when (axi.w.fire()) {
[warn]                 ^
[warn] /home/centos/firesim/target-design/chipyard/tools/rocket-dsp-utils/src/main/scala/freechips/rocketchip/amba/axi4stream/DMA.scala:296:17: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]     when (axi.b.fire()) {
[warn]                 ^
[warn] /home/centos/firesim/target-design/chipyard/tools/rocket-dsp-utils/src/main/scala/freechips/rocketchip/jtag2mm/JtagToMaster.scala:133:11: method apply in object TLClientPortParameters is deprecated: Use TLMasterPortParameters.v1 instead of TLClientPortParameters
[warn]       Seq(TLClientPortParameters(Seq(TLClientParameters(name = "JTAGToMasterOut", sourceId = IdRange(0, 4)))))
[warn]           ^
[warn] /home/centos/firesim/target-design/chipyard/tools/rocket-dsp-utils/src/main/scala/freechips/rocketchip/jtag2mm/JtagToMaster.scala:133:38: method apply in object TLClientParameters is deprecated: Use TLMasterParameters.v1 instead of TLClientParameters
[warn]       Seq(TLClientPortParameters(Seq(TLClientParameters(name = "JTAGToMasterOut", sourceId = IdRange(0, 4)))))
[warn]                                      ^
[warn] /home/centos/firesim/target-design/chipyard/tools/rocket-dsp-utils/src/main/scala/freechips/rocketchip/jtag2mm/JtagToMaster.scala:468:23: method apply in object TLManagerParameters is deprecated: Use TLSlaveParameters.v1 instead of TLManagerParameters
[warn]   val managerParams = TLManagerParameters(
[warn]                       ^
[warn] /home/centos/firesim/target-design/chipyard/tools/rocket-dsp-utils/src/main/scala/freechips/rocketchip/jtag2mm/TestMultiplexer.scala:62:24: method apply in object TLClientParameters is deprecated: Use TLMasterParameters.v1 instead of TLClientParameters
[warn]     val clientParams = TLClientParameters(
[warn]                        ^
[warn] /home/centos/firesim/target-design/chipyard/tools/rocket-dsp-utils/src/main/scala/freechips/rocketchip/jtag2mm/TestMultiplexer.scala:80:31: method apply in object TLClientPortParameters is deprecated: Use TLMasterPortParameters.v1 instead of TLClientPortParameters
[warn]         m := BundleBridgeToTL(TLClientPortParameters(Seq(clientParams))) := ioMemNode
[warn]                               ^
[warn] /home/centos/firesim/target-design/chipyard/tools/rocket-dsp-utils/src/main/scala/freechips/rocketchip/tilelink/Node.scala:20:30: method apply in object TLManagerPortParameters is deprecated: Use TLSlavePortParameters.v1 instead of TLManagerPortParameters
[warn]     new TLToBundleBridgeNode(TLManagerPortParameters(Seq(managerParams), beatBytes))
[warn]                              ^
[warn] /home/centos/firesim/target-design/chipyard/tools/rocket-dsp-utils/src/main/scala/freechips/rocketchip/tilelink/Node.scala:38:11: method apply in object TLManagerPortParameters is deprecated: Use TLSlavePortParameters.v1 instead of TLManagerPortParameters
[warn]     apply(TLManagerPortParameters(Seq(managerParams), beatBytes))
[warn]           ^
[warn] /home/centos/firesim/target-design/chipyard/tools/rocket-dsp-utils/src/main/scala/freechips/rocketchip/tilelink/Node.scala:52:26: method apply in object TLClientPortParameters is deprecated: Use TLMasterPortParameters.v1 instead of TLClientPortParameters
[warn]     BundleBridgeToTLNode(TLClientPortParameters(Seq(clientParams), beatBytes))
[warn]                          ^
[warn] /home/centos/firesim/target-design/chipyard/tools/rocket-dsp-utils/src/main/scala/freechips/rocketchip/tilelink/Node.scala:71:11: method apply in object TLClientPortParameters is deprecated: Use TLMasterPortParameters.v1 instead of TLClientPortParameters
[warn]     apply(TLClientPortParameters(Seq(clientParams), beatBytes))
[warn]           ^
[warn] /home/centos/firesim/target-design/chipyard/tools/rocket-dsp-utils/src/main/scala/freechips/rocketchip/tilelink/TestIP.scala:50:47: class PeekPokeTester in package iotesters is deprecated (since chisel-iotesters 2.5.0): chisel-iotesters is end-of-life. Use chiseltest instead, see https://www.chisel-lang.org/chiseltest/migrating-from-iotesters.
[warn] trait TLMasterModel extends chisel3.iotesters.PeekPokeTester[MultiIOModule] with MemMasterModel {
[warn]                                               ^
[warn] there were 650 feature warnings; re-run with -feature for details
[warn] 30 warnings found
[warn] /home/centos/firesim/target-design/chipyard/generators/sifive-blocks/src/main/scala/devices/gpio/GPIO.scala:293:44: match may not be exhaustive.
[warn] It would fail on the following input: CreditedCrossing(_, _)
[warn]       gpioClockDomainWrapper.clockNode := (controlXType match {
[warn]                                            ^
[warn] /home/centos/firesim/target-design/chipyard/generators/sifive-blocks/src/main/scala/devices/gpio/GPIO.scala:310:6: match may not be exhaustive.
[warn] It would fail on the following input: CreditedCrossing(_, _)
[warn]     (intXType match {
[warn]      ^
[warn] /home/centos/firesim/target-design/chipyard/generators/sifive-blocks/src/main/scala/devices/i2c/I2C.scala:608:43: match may not be exhaustive.
[warn] It would fail on the following input: CreditedCrossing(_, _)
[warn]       i2cClockDomainWrapper.clockNode := (controlXType match {
[warn]                                           ^
[warn] /home/centos/firesim/target-design/chipyard/generators/sifive-blocks/src/main/scala/devices/i2c/I2C.scala:625:6: match may not be exhaustive.
[warn] It would fail on the following input: CreditedCrossing(_, _)
[warn]     (intXType match {
[warn]      ^
[warn] /home/centos/firesim/target-design/chipyard/generators/sifive-blocks/src/main/scala/devices/porgen/PorGen.scala:135:46: match may not be exhaustive.
[warn] It would fail on the following input: CreditedCrossing(_, _)
[warn]       porgenClockDomainWrapper.clockNode := (controlXType match {
[warn]                                              ^
[warn] /home/centos/firesim/target-design/chipyard/generators/sifive-blocks/src/main/scala/devices/pwm/PWM.scala:146:43: match may not be exhaustive.
[warn] It would fail on the following input: CreditedCrossing(_, _)
[warn]       pwmClockDomainWrapper.clockNode := (controlXType match {
[warn]                                           ^
[warn] /home/centos/firesim/target-design/chipyard/generators/sifive-blocks/src/main/scala/devices/pwm/PWM.scala:163:6: match may not be exhaustive.
[warn] It would fail on the following input: CreditedCrossing(_, _)
[warn]     (intXType match {
[warn]      ^
[warn] /home/centos/firesim/target-design/chipyard/generators/sifive-blocks/src/main/scala/devices/spi/SPI.scala:47:43: match may not be exhaustive.
[warn] It would fail on the following input: CreditedCrossing(_, _)
[warn]       spiClockDomainWrapper.clockNode := (controlXType match {
[warn]                                           ^
[warn] /home/centos/firesim/target-design/chipyard/generators/sifive-blocks/src/main/scala/devices/spi/SPI.scala:64:6: match may not be exhaustive.
[warn] It would fail on the following input: CreditedCrossing(_, _)
[warn]     (intXType match {
[warn]      ^
[warn] /home/centos/firesim/target-design/chipyard/generators/sifive-blocks/src/main/scala/devices/spi/SPI.scala:104:44: match may not be exhaustive.
[warn] It would fail on the following input: CreditedCrossing(_, _)
[warn]       qspiClockDomainWrapper.clockNode := (controlXType match {
[warn]                                            ^
[warn] /home/centos/firesim/target-design/chipyard/generators/sifive-blocks/src/main/scala/devices/spi/SPI.scala:136:6: match may not be exhaustive.
[warn] It would fail on the following input: CreditedCrossing(_, _)
[warn]     (intXType match {
[warn]      ^
[warn] /home/centos/firesim/target-design/chipyard/generators/sifive-blocks/src/main/scala/devices/stream/PseudoStream.scala:119:46: match may not be exhaustive.
[warn] It would fail on the following input: CreditedCrossing(_, _)
[warn]       streamClockDomainWrapper.clockNode := (controlXType match {
[warn]                                              ^
[warn] /home/centos/firesim/target-design/chipyard/generators/sifive-blocks/src/main/scala/devices/timer/Timer.scala:104:45: match may not be exhaustive.
[warn] It would fail on the following input: CreditedCrossing(_, _)
[warn]       timerClockDomainWrapper.clockNode := (controlXType match {
[warn]                                             ^
[warn] /home/centos/firesim/target-design/chipyard/generators/sifive-blocks/src/main/scala/devices/timer/Timer.scala:121:6: match may not be exhaustive.
[warn] It would fail on the following input: CreditedCrossing(_, _)
[warn]     (intXType match {
[warn]      ^
[warn] /home/centos/firesim/target-design/chipyard/generators/sifive-blocks/src/main/scala/devices/uart/UART.scala:254:44: match may not be exhaustive.
[warn] It would fail on the following input: CreditedCrossing(_, _)
[warn]       uartClockDomainWrapper.clockNode := (controlXType match {
[warn]                                            ^
[warn] /home/centos/firesim/target-design/chipyard/generators/sifive-blocks/src/main/scala/devices/uart/UART.scala:271:6: match may not be exhaustive.
[warn] It would fail on the following input: CreditedCrossing(_, _)
[warn]     (intXType match {
[warn]      ^
[warn] /home/centos/firesim/target-design/chipyard/generators/sifive-blocks/src/main/scala/devices/wdt/TLWDT.scala:99:43: match may not be exhaustive.
[warn] It would fail on the following input: CreditedCrossing(_, _)
[warn]       wdtClockDomainWrapper.clockNode := (controlXType match {
[warn]                                           ^
[warn] /home/centos/firesim/target-design/chipyard/generators/sifive-blocks/src/main/scala/devices/wdt/TLWDT.scala:116:6: match may not be exhaustive.
[warn] It would fail on the following input: CreditedCrossing(_, _)
[warn]     (intXType match {
[warn]      ^
[warn] /home/centos/firesim/target-design/chipyard/generators/sifive-blocks/src/main/scala/devices/chiplink/CAM.scala:28:18: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   when (io.alloc.fire()) { data.write(io.key, io.alloc.bits) }
[warn]                  ^
[warn] /home/centos/firesim/target-design/chipyard/generators/sifive-blocks/src/main/scala/devices/chiplink/CAM.scala:31:25: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   val bypass = io.alloc.fire() && io.free.bits === io.key
[warn]                         ^
[warn] /home/centos/firesim/target-design/chipyard/generators/sifive-blocks/src/main/scala/devices/chiplink/CAM.scala:35:26: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   val clr = Mux(io.alloc.fire(), free_sel, 0.U)
[warn]                          ^
[warn] /home/centos/firesim/target-design/chipyard/generators/sifive-blocks/src/main/scala/devices/chiplink/Parameters.scala:130:13: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]     when (x.fire()) { count := Mux(first, beats, count - UInt(1)) }
[warn]             ^
[warn] /home/centos/firesim/target-design/chipyard/generators/sifive-blocks/src/main/scala/devices/chiplink/Partial.scala:46:16: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]     when (io.i.fire()) {
[warn]                ^
[warn] there were 56 feature warnings; re-run with -feature for details
[warn] /home/centos/firesim/target-design/chipyard/generators/sifive-blocks/src/main/scala/devices/chiplink/Partial.scala:97:16: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]     when (io.o.fire()) {
[warn]                ^
[warn] 45 warnings found
[warn] /home/centos/firesim/target-design/chipyard/generators/sifive-blocks/src/main/scala/devices/chiplink/RX.scala:37:26: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   val formatValid = beat.fire() && first
[warn]                          ^
[warn] /home/centos/firesim/target-design/chipyard/generators/sifive-blocks/src/main/scala/devices/chiplink/RX.scala:81:22: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]     inc := hq.io.deq.fire().asUInt
[warn]                      ^
[warn] /home/centos/firesim/target-design/chipyard/generators/sifive-blocks/src/main/scala/devices/chiplink/RX.scala:90:15: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   when (txOut.fire()) { tx := txInc }
[warn]               ^
[warn] /home/centos/firesim/target-design/chipyard/generators/sifive-blocks/src/main/scala/devices/chiplink/RX.scala:91:15: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   when (rxOut.fire()) { rx := rxInc }
[warn]               ^
[warn] /home/centos/firesim/target-design/chipyard/generators/sifive-blocks/src/main/scala/devices/chiplink/SinkA.scala:36:14: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   when (io.q.fire()) {
[warn]              ^
[warn] /home/centos/firesim/target-design/chipyard/generators/sifive-blocks/src/main/scala/devices/chiplink/SinkB.scala:31:14: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   when (io.q.fire()) {
[warn]              ^
[warn] /home/centos/firesim/target-design/chipyard/generators/sifive-blocks/src/main/scala/devices/chiplink/SinkC.scala:33:14: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   when (io.q.fire()) {
[warn]              ^
[warn] /home/centos/firesim/target-design/chipyard/generators/sifive-blocks/src/main/scala/devices/chiplink/SinkD.scala:31:14: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   when (io.q.fire()) {
[warn]              ^
[warn] /home/centos/firesim/target-design/chipyard/generators/sifive-blocks/src/main/scala/devices/chiplink/SinkD.scala:41:31: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   io.a_tlSource.valid := io.q.fire() && state === s_header && !relack
[warn]                               ^
[warn] /home/centos/firesim/target-design/chipyard/generators/sifive-blocks/src/main/scala/devices/chiplink/SinkD.scala:43:31: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   io.c_tlSource.valid := io.q.fire() && state === s_header &&  relack
[warn]                               ^
[warn] /home/centos/firesim/target-design/chipyard/generators/sifive-blocks/src/main/scala/devices/chiplink/SinkE.scala:18:29: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   io.d_tlSink.valid := io.e.fire()
[warn]                             ^
[warn] /home/centos/firesim/target-design/chipyard/generators/sifive-blocks/src/main/scala/devices/chiplink/SourceA.scala:46:50: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   val a_first = RegEnable(state =/= s_data, io.q.fire())
[warn]                                                  ^
[warn] /home/centos/firesim/target-design/chipyard/generators/sifive-blocks/src/main/scala/devices/chiplink/SourceA.scala:48:14: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   when (io.q.fire()) {
[warn]              ^
[warn] /home/centos/firesim/target-design/chipyard/generators/sifive-blocks/src/main/scala/devices/chiplink/SourceB.scala:41:50: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   val b_first = RegEnable(state =/= s_data, io.q.fire())
[warn]                                                  ^
[warn] /home/centos/firesim/target-design/chipyard/generators/sifive-blocks/src/main/scala/devices/chiplink/SourceB.scala:43:14: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   when (io.q.fire()) {
[warn]              ^
[warn] /home/centos/firesim/target-design/chipyard/generators/sifive-blocks/src/main/scala/devices/chiplink/SourceC.scala:44:50: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   val c_first = RegEnable(state =/= s_data, io.q.fire())
[warn]                                                  ^
[warn] /home/centos/firesim/target-design/chipyard/generators/sifive-blocks/src/main/scala/devices/chiplink/SourceC.scala:46:14: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   when (io.q.fire()) {
[warn]              ^
[warn] /home/centos/firesim/target-design/chipyard/generators/sifive-blocks/src/main/scala/devices/chiplink/SourceD.scala:49:50: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   val d_first = RegEnable(state =/= s_data, io.q.fire())
[warn]                                                  ^
[warn] /home/centos/firesim/target-design/chipyard/generators/sifive-blocks/src/main/scala/devices/chiplink/SourceD.scala:52:14: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   when (io.q.fire()) {
[warn]              ^
[warn] /home/centos/firesim/target-design/chipyard/generators/sifive-blocks/src/main/scala/devices/chiplink/StuckSnooper.scala:62:45: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]     divertprobes := divertprobes && ~(in1.a.fire() && (in1.a.bits.opcode === TLMessages.AcquireBlock || in1.a.bits.opcode === TLMessages.AcquirePerm))
[warn]                                             ^
[warn] /home/centos/firesim/target-design/chipyard/generators/sifive-blocks/src/main/scala/devices/chiplink/TX.scala:51:54: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]     val first = RegEnable(q.bits.last, Bool(true), q.fire())
[warn]                                                      ^
[warn] /home/centos/firesim/target-design/chipyard/generators/sifive-blocks/src/main/scala/devices/chiplink/TX.scala:54:21: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]     credit := Mux(q.fire() && first, delta, credit) + Mux(txInc.fire(), gain, UInt(0))
[warn]                     ^
[warn] /home/centos/firesim/target-design/chipyard/generators/sifive-blocks/src/main/scala/devices/chiplink/TX.scala:54:65: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]     credit := Mux(q.fire() && first, delta, credit) + Mux(txInc.fire(), gain, UInt(0))
[warn]                                                                 ^
[warn] /home/centos/firesim/target-design/chipyard/generators/sifive-blocks/src/main/scala/devices/chiplink/TX.scala:70:24: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   rx := Mux(rxQ.io.enq.fire(), rxLeft, rx) + Mux(rxInc.fire(), rxInc.bits, CreditBump(info.params, 0))
[warn]                        ^
[warn] /home/centos/firesim/target-design/chipyard/generators/sifive-blocks/src/main/scala/devices/chiplink/TX.scala:70:56: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   rx := Mux(rxQ.io.enq.fire(), rxLeft, rx) + Mux(rxInc.fire(), rxInc.bits, CreditBump(info.params, 0))
[warn]                                                        ^
[warn] /home/centos/firesim/target-design/chipyard/generators/sifive-blocks/src/main/scala/devices/chiplink/TX.scala:83:11: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   when (f.fire()) { xmit := ~UInt(0, width = xmitBits) }
[warn]           ^
[warn] /home/centos/firesim/target-design/chipyard/generators/sifive-blocks/src/main/scala/devices/mockaon/MockAON.scala:54:40: type MultiIOModule in package chisel3 is deprecated (since Chisel 3.5): MultiIOModule is now just Module
[warn] trait HasMockAONModuleContents extends MultiIOModule with HasRegMap {
[warn]                                        ^
[warn] /home/centos/firesim/target-design/chipyard/generators/sifive-blocks/src/main/scala/devices/mockaon/RTC.scala:13:19: type MultiIOModule in package chisel3 is deprecated (since Chisel 3.5): MultiIOModule is now just Module
[warn] class RTC extends MultiIOModule with GenericTimer {
[warn]                   ^
[warn] /home/centos/firesim/target-design/chipyard/generators/sifive-blocks/src/main/scala/devices/mockaon/WatchdogTimer.scala:25:29: type MultiIOModule in package chisel3 is deprecated (since Chisel 3.5): MultiIOModule is now just Module
[warn] class WatchdogTimer extends MultiIOModule with GenericTimer {
[warn]                             ^
[warn] /home/centos/firesim/target-design/chipyard/generators/sifive-blocks/src/main/scala/devices/msi/MSIMaster.scala:64:16: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]     when (io.a.fire()) {
[warn]                ^
[warn] /home/centos/firesim/target-design/chipyard/generators/sifive-blocks/src/main/scala/devices/msi/MSIMaster.scala:71:16: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]     when (io.d.fire()) {
[warn]                ^
[warn] /home/centos/firesim/target-design/chipyard/generators/sifive-blocks/src/main/scala/devices/pwm/PWM.scala:25:95: type MultiIOModule in package chisel3 is deprecated (since Chisel 3.5): MultiIOModule is now just Module
[warn] class PWMTimer(val ncmp: Int = 4, val cmpWidth: Int = 16, val prefix: String = "pwm") extends MultiIOModule with GenericTimer {
[warn]                                                                                               ^
[warn] /home/centos/firesim/target-design/chipyard/generators/sifive-blocks/src/main/scala/devices/spi/SPIFIFO.scala:28:28: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   val fire_tx = io.link.tx.fire()
[warn]                            ^
[warn] /home/centos/firesim/target-design/chipyard/generators/sifive-blocks/src/main/scala/devices/spi/SPIFIFO.scala:29:28: method fire in class Valid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   val fire_rx = io.link.rx.fire()
[warn]                            ^
[warn] /home/centos/firesim/target-design/chipyard/generators/sifive-blocks/src/main/scala/devices/spi/SPIFlash.scala:89:22: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]     when (io.link.tx.fire()) {
[warn]                      ^
[warn] /home/centos/firesim/target-design/chipyard/generators/sifive-blocks/src/main/scala/devices/spi/SPIFlash.scala:164:21: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]       when (io.data.fire()) {
[warn]                     ^
[warn] /home/centos/firesim/target-design/chipyard/generators/sifive-blocks/src/main/scala/devices/spi/SPIMedia.scala:88:20: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]           when (op.fire()) {
[warn]                    ^
[warn] /home/centos/firesim/target-design/chipyard/generators/sifive-blocks/src/main/scala/devices/spi/TLSPI.scala:99:95: method litValue in class Data is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]                          RegFieldDesc("csmode", "Chip select mode", reset=Some(SPICSMode.Auto.litValue())))),
[warn]                                                                                               ^
[warn] /home/centos/firesim/target-design/chipyard/generators/sifive-blocks/src/main/scala/devices/spi/TLSPI.scala:111:83: method litValue in class Data is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]                RegFieldDesc("proto","SPI Protocol", reset=Some(SPIProtocol.Single.litValue()))),
[warn]                                                                                   ^
[warn] /home/centos/firesim/target-design/chipyard/generators/sifive-blocks/src/main/scala/devices/spi/TLSPI.scala:113:81: method litValue in class Data is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]                RegFieldDesc("endian","SPI Endianness", reset=Some(SPIEndian.MSB.litValue()))),
[warn]                                                                                 ^
[warn] /home/centos/firesim/target-design/chipyard/generators/sifive-blocks/src/main/scala/devices/spi/TLSPI.scala:115:85: method litValue in class Data is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]                RegFieldDesc("iodir","SPI I/O Direction", reset=Some(SPIDirection.Rx.litValue()))))),
[warn]                                                                                     ^
[warn] /home/centos/firesim/target-design/chipyard/generators/sifive-blocks/src/main/scala/devices/spi/TLSPIFlash.scala:69:13: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   when (f.a.fire()) {
[warn]             ^
[warn] /home/centos/firesim/target-design/chipyard/generators/sifive-blocks/src/main/scala/devices/uart/UARTTx.scala:37:15: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   when (io.in.fire()) {
[warn]               ^
[warn] /home/centos/firesim/target-design/chipyard/generators/sifive-blocks/src/main/scala/devices/uart/UARTTx.scala:40:15: method fire in class AddMethodsToReadyValid is deprecated (since Chisel 3.5): Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead
[warn]   when (io.in.fire() && plusarg_tx) {
[warn]               ^
[warn] /home/centos/firesim/target-design/chipyard/generators/hwacha/src/main/scala/types-vxu.scala:265:7: Unable to determine primary constructor!
[warn] trait MicroOp extends BankPred with Rate
[warn]       ^
[info] compiling 7 Scala sources to /home/centos/firesim/target-design/chipyard/generators/fft-generator/target/scala-2.12/classes ...
[warn] there were 254 feature warnings; re-run with -feature for details
[warn] one warning found
[warn] /home/centos/firesim/target-design/chipyard/generators/fft-generator/src/main/scala/Tail.scala:152:10: method toVariableWidthSlave in trait CanAttachTLSlaves is deprecated (since rocket-chip 1.3): Replace with e.g. bus.coupleTo(s"slave_named_${name}"){ slave.controlXing(NoCrossing) :*= TLFragmenter(bus.beatBytes, bus.blockBytes) :*= _ }
[warn]     pbus.toVariableWidthSlave(Some("tailWrite")) { tailChain.node }
[warn]          ^
[warn] one warning found
[warn] there were 767 feature warnings; re-run with -feature for details
[warn] 70 warnings found
[info] compiling 25 Scala sources to /home/centos/firesim/target-design/chipyard/generators/testchipip/target/scala-2.12/classes ...
[warn] /home/centos/firesim/target-design/chipyard/generators/testchipip/src/main/scala/BlockDevice.scala:463:13: method toVariableWidthSlave in trait CanAttachTLSlaves is deprecated (since rocket-chip 1.3): Replace with e.g. bus.coupleTo(s"slave_named_${name}"){ slave.controlXing(NoCrossing) :*= TLFragmenter(bus.beatBytes, bus.blockBytes) :*= _ }
[warn]     manager.toVariableWidthSlave(Some(portName)) { controller.mmio }
[warn]             ^
[warn] /home/centos/firesim/target-design/chipyard/generators/testchipip/src/main/scala/BootAddrReg.scala:32:11: method toVariableWidthSlave in trait CanAttachTLSlaves is deprecated (since rocket-chip 1.3): Replace with e.g. bus.coupleTo(s"slave_named_${name}"){ slave.controlXing(NoCrossing) :*= TLFragmenter(bus.beatBytes, bus.blockBytes) :*= _ }
[warn]     tlbus.toVariableWidthSlave(Some("boot-address-reg")) { node }
[warn]           ^
[warn] /home/centos/firesim/target-design/chipyard/generators/testchipip/src/main/scala/SPIFlash.scala:35:20: method append in object PlusArgArtefacts is deprecated (since Rocket Chip 2020.05): Use `Some(BigInt)` to specify a `default` value. This will be removed in Rocket Chip 2020.08
[warn]   PlusArgArtefacts.append(SPIFlashPlusarg(id), 0, s"Binary image to mount to SPI flash memory ${id}")
[warn]                    ^
[warn] /home/centos/firesim/target-design/chipyard/generators/testchipip/src/main/scala/Scratchpad.scala:24:10: method toVariableWidthSlave in trait CanAttachTLSlaves is deprecated (since rocket-chip 1.3): Replace with e.g. bus.coupleTo(s"slave_named_${name}"){ slave.controlXing(NoCrossing) :*= TLFragmenter(bus.beatBytes, bus.blockBytes) :*= _ }
[warn]     mbus.toVariableWidthSlave(Some(portName)) { spad.node }
[warn]          ^
[warn] /home/centos/firesim/target-design/chipyard/generators/testchipip/src/main/scala/TileResetCtrl.scala:28:11: method toVariableWidthSlave in trait CanAttachTLSlaves is deprecated (since rocket-chip 1.3): Replace with e.g. bus.coupleTo(s"slave_named_${name}"){ slave.controlXing(NoCrossing) :*= TLFragmenter(bus.beatBytes, bus.blockBytes) :*= _ }
[warn]     tlbus.toVariableWidthSlave(Some("tile-reset-ctrl")) { resetCtrl.node := TLBuffer() }
[warn]           ^
[warn] there were 514 feature warnings; re-run with -feature for details
[warn] 6 warnings found
[info] compiling 15 Scala sources to /home/centos/firesim/target-design/chipyard/generators/icenet/target/scala-2.12/classes ...
[info] compiling 53 Scala sources to /home/centos/firesim/target-design/chipyard/generators/gemmini/target/scala-2.12/classes ...
[info] compiling 55 Scala sources to /home/centos/firesim/target-design/chipyard/generators/boom/target/scala-2.12/classes ...
[warn] there were 3047 feature warnings; re-run with -feature for details
[warn] two warnings found
[warn] /home/centos/firesim/target-design/chipyard/generators/icenet/src/main/scala/NIC.scala:552:13: method toVariableWidthSlave in trait CanAttachTLSlaves is deprecated (since rocket-chip 1.3): Replace with e.g. bus.coupleTo(s"slave_named_${name}"){ slave.controlXing(NoCrossing) :*= TLFragmenter(bus.beatBytes, bus.blockBytes) :*= _ }
[warn]     manager.toVariableWidthSlave(Some(portName)) { icenic.mmionode }
[warn]             ^
[warn] there were 643 feature warnings; re-run with -feature for details
[warn] two warnings found
[warn] /home/centos/firesim/target-design/chipyard/generators/gemmini/src/main/scala/gemmini/VectorScalarMultiplier.scala:135:32: unreachable code
[warn]             case b: Bool => 1.U(1.W)
[warn]                                ^
[warn] there were 2758 feature warnings; re-run with -feature for details
[warn] two warnings found
[warn] there were 2656 feature warnings; re-run with -feature for details
[warn] one warning found
[info] compiling 3 Scala sources to /home/centos/firesim/target-design/chipyard/generators/tracegen/target/scala-2.12/classes ...
[warn] there were 99 feature warnings; re-run with -feature for details
[warn] one warning found
[info] compiling 47 Scala sources to /home/centos/firesim/target-design/chipyard/generators/chipyard/target/scala-2.12/classes ...
[warn] /home/centos/firesim/target-design/chipyard/generators/chipyard/src/main/scala/clocking/TileClockGater.scala:52:11: method toVariableWidthSlave in trait CanAttachTLSlaves is deprecated (since rocket-chip 1.3): Replace with e.g. bus.coupleTo(s"slave_named_${name}"){ slave.controlXing(NoCrossing) :*= TLFragmenter(bus.beatBytes, bus.blockBytes) :*= _ }
[warn]     tlbus.toVariableWidthSlave(Some("clock-gater")) { gater.tlNode := TLBuffer() }
[warn]           ^
[warn] /home/centos/firesim/target-design/chipyard/generators/chipyard/src/main/scala/clocking/TileResetSetter.scala:69:11: method toVariableWidthSlave in trait CanAttachTLSlaves is deprecated (since rocket-chip 1.3): Replace with e.g. bus.coupleTo(s"slave_named_${name}"){ slave.controlXing(NoCrossing) :*= TLFragmenter(bus.beatBytes, bus.blockBytes) :*= _ }
[warn]     tlbus.toVariableWidthSlave(Some("tile-reset-setter")) { setter.tlNode := TLBuffer() }
[warn]           ^
[warn] /home/centos/firesim/target-design/chipyard/generators/chipyard/src/main/scala/example/GCD.scala:168:14: method toSlave in trait CanAttachTLSlaves is deprecated (since rocket-chip 1.3): Replace with e.g. bus.coupleTo(s"slave_named_${name}"){ slave.controlXing(NoCrossing) :*= _ }
[warn]         pbus.toSlave(Some(portName)) {
[warn]              ^
[warn] /home/centos/firesim/target-design/chipyard/generators/chipyard/src/main/scala/example/GCD.scala:178:14: method toVariableWidthSlave in trait CanAttachTLSlaves is deprecated (since rocket-chip 1.3): Replace with e.g. bus.coupleTo(s"slave_named_${name}"){ slave.controlXing(NoCrossing) :*= TLFragmenter(bus.beatBytes, bus.blockBytes) :*= _ }
[warn]         pbus.toVariableWidthSlave(Some(portName)) { gcd.node }
[warn]              ^
[warn] /home/centos/firesim/target-design/chipyard/generators/chipyard/src/main/scala/example/TutorialTile.scala:133:53: method hartId in trait HasNonDiplomaticTileParameters is deprecated (since rocket-chip 1.3): use hartIdSinkNodeOpt.map(_.bundle) or staticIdForMetadataUseOnly
[warn]     Resource(cpuDevice, "reg").bind(ResourceAddress(hartId))
[warn]                                                     ^
[warn] /home/centos/firesim/target-design/chipyard/generators/chipyard/src/main/scala/example/dsptools/GenericFIR.scala:206:12: method toVariableWidthSlave in trait CanAttachTLSlaves is deprecated (since rocket-chip 1.3): Replace with e.g. bus.coupleTo(s"slave_named_${name}"){ slave.controlXing(NoCrossing) :*= TLFragmenter(bus.beatBytes, bus.blockBytes) :*= _ }
[warn]       pbus.toVariableWidthSlave(Some("streamingFIR")) { streamingFIR.mem.get := TLFIFOFixer() }
[warn]            ^
[warn] /home/centos/firesim/target-design/chipyard/generators/chipyard/src/main/scala/example/dsptools/StreamingPassthrough.scala:135:12: method toVariableWidthSlave in trait CanAttachTLSlaves is deprecated (since rocket-chip 1.3): Replace with e.g. bus.coupleTo(s"slave_named_${name}"){ slave.controlXing(NoCrossing) :*= TLFragmenter(bus.beatBytes, bus.blockBytes) :*= _ }
[warn]       pbus.toVariableWidthSlave(Some("streamingPassthrough")) { streamingPassthroughChain.mem.get := TLFIFOFixer() }
[warn]            ^
[warn] there were 31 feature warnings; re-run with -feature for details
[warn] 8 warnings found
[info] running chipyard.Generator --target-dir /home/centos/firesim/target-design/chipyard/sims/verilator/generated-src/chipyard.TestHarness.TutorialStarterConfig --name chipyard.TestHarness.TutorialStarterConfig --top-module chipyard.TestHarness --legacy-configs chipyard:TutorialStarterConfi
L2 InclusiveCache Client Map:
        0 <= serial-tl

Interrupt map (0 harts 1 interrupts):
  [1, 1] => uart_0

[error] (run-main-0) java.lang.reflect.InvocationTargetException
[error] java.lang.reflect.InvocationTargetException
[error]         at ... ()
[error]         at freechips.rocketchip.stage.phases.PreElaboration.$anonfun$transform$1(PreElaboration.scala:36)
[error]         at ... ()
[error]         at ... (Stack trace trimmed to user code only. Rerun with --full-stacktrace to see the full stack trace)
[error] Caused by: java.lang.IllegalArgumentException: requirement failed: Must be: nHarts=0 > 0 && nHarts <= PLICParams.maxHarts=15872
[error]         at scala.Predef$.require(Predef.scala:281)
[error]         at freechips.rocketchip.devices.tilelink.TLPLIC$$anon$3.<init>(Plic.scala:152)
[error]         at freechips.rocketchip.devices.tilelink.TLPLIC.$anonfun$module$1(Plic.scala:128)
[error]         at chisel3.internal.plugin.package$.autoNameRecursively(package.scala:33)
[error]         at freechips.rocketchip.devices.tilelink.TLPLIC.module$lzycompute(Plic.scala:128)
[error]         at freechips.rocketchip.devices.tilelink.TLPLIC.module(Plic.scala:128)
[error]         at freechips.rocketchip.devices.tilelink.TLPLIC.module(Plic.scala:80)
[error]         at freechips.rocketchip.diplomacy.LazyModuleImpLike.$anonfun$instantiate$3(LazyModule.scala:278)
[error]         at chisel3.Module$.do_apply(Module.scala:53)
[error]         at freechips.rocketchip.diplomacy.LazyModuleImpLike.$anonfun$instantiate$2(LazyModule.scala:278)
[error]         at chisel3.internal.plugin.package$.autoNameRecursively(package.scala:33)
[error]         at freechips.rocketchip.diplomacy.LazyModuleImpLike.$anonfun$instantiate$1(LazyModule.scala:278)
[error]         at scala.collection.immutable.List.flatMap(List.scala:338)
[error]         at freechips.rocketchip.diplomacy.LazyModuleImpLike.instantiate(LazyModule.scala:276)
[error]         at freechips.rocketchip.diplomacy.LazyModuleImpLike.instantiate$(LazyModule.scala:273)
[error]         at freechips.rocketchip.diplomacy.LazyRawModuleImp.instantiate(LazyModule.scala:344)
[error]         at freechips.rocketchip.diplomacy.LazyRawModuleImp.$anonfun$x$22$2(LazyModule.scala:357)
[error]         at chisel3.withClockAndReset$.apply(MultiClock.scala:26)
[error]         at freechips.rocketchip.diplomacy.LazyRawModuleImp.$anonfun$x$22$1(LazyModule.scala:357)
[error]         at chisel3.internal.plugin.package$.autoNameRecursivelyProduct(package.scala:48)
[error]         at freechips.rocketchip.diplomacy.LazyRawModuleImp.<init>(LazyModule.scala:356)
[error]         at freechips.rocketchip.prci.Domain$$anon$1.<init>(ClockDomain.scala:10)
[error]         at freechips.rocketchip.prci.Domain.$anonfun$module$1(ClockDomain.scala:10)
[error]         at chisel3.internal.plugin.package$.autoNameRecursively(package.scala:33)
[error]         at freechips.rocketchip.prci.Domain.module$lzycompute(ClockDomain.scala:10)
[error]         at freechips.rocketchip.prci.Domain.module(ClockDomain.scala:10)
[error]         at freechips.rocketchip.prci.Domain.module(ClockDomain.scala:7)
[error]         at freechips.rocketchip.diplomacy.LazyModuleImpLike.$anonfun$instantiate$3(LazyModule.scala:278)
[error]         at chisel3.Module$.do_apply(Module.scala:53)
[error]         at freechips.rocketchip.diplomacy.LazyModuleImpLike.$anonfun$instantiate$2(LazyModule.scala:278)
[error]         at chisel3.internal.plugin.package$.autoNameRecursively(package.scala:33)
[error]         at freechips.rocketchip.diplomacy.LazyModuleImpLike.$anonfun$instantiate$1(LazyModule.scala:278)
[error]         at scala.collection.immutable.List.flatMap(List.scala:338)
[error]         at freechips.rocketchip.diplomacy.LazyModuleImpLike.instantiate(LazyModule.scala:276)
[error]         at freechips.rocketchip.diplomacy.LazyModuleImpLike.instantiate$(LazyModule.scala:273)
[error]         at freechips.rocketchip.diplomacy.LazyModuleImp.instantiate(LazyModule.scala:335)
[error]         at freechips.rocketchip.diplomacy.LazyModuleImp.$anonfun$x$21$1(LazyModule.scala:337)
[error]         at chisel3.internal.plugin.package$.autoNameRecursivelyProduct(package.scala:48)
[error]         at freechips.rocketchip.diplomacy.LazyModuleImp.<init>(LazyModule.scala:337)
[error]         at freechips.rocketchip.subsystem.BareSubsystemModuleImp.<init>(BaseSubsystem.scala:30)
[error]         at freechips.rocketchip.subsystem.BaseSubsystemModuleImp.<init>(BaseSubsystem.scala:135)
[error]         at chipyard.ChipyardSubsystemModuleImp.<init>(Subsystem.scala:79)
[error]         at chipyard.ChipyardSystemModule.<init>(System.scala:40)
[error]         at chipyard.DigitalTopModule.<init>(DigitalTop.scala:40)
[error]         at chipyard.DigitalTop.$anonfun$module$1(DigitalTop.scala:37)
[error]         at chisel3.internal.plugin.package$.autoNameRecursively(package.scala:33)
[error]         at chipyard.DigitalTop.module$lzycompute(DigitalTop.scala:37)
[error]         at chipyard.DigitalTop.module(DigitalTop.scala:37)
[error]         at chipyard.DigitalTop.module(DigitalTop.scala:15)
[error]         at freechips.rocketchip.diplomacy.LazyModuleImpLike.$anonfun$instantiate$3(LazyModule.scala:278)
[error]         at chisel3.Module$.do_apply(Module.scala:53)
[error]         at freechips.rocketchip.diplomacy.LazyModuleImpLike.$anonfun$instantiate$2(LazyModule.scala:278)
[error]         at chisel3.internal.plugin.package$.autoNameRecursively(package.scala:33)
[error]         at freechips.rocketchip.diplomacy.LazyModuleImpLike.$anonfun$instantiate$1(LazyModule.scala:278)
[error]         at scala.collection.immutable.List.flatMap(List.scala:338)
[error]         at freechips.rocketchip.diplomacy.LazyModuleImpLike.instantiate(LazyModule.scala:276)
[error]         at freechips.rocketchip.diplomacy.LazyModuleImpLike.instantiate$(LazyModule.scala:273)
[error]         at freechips.rocketchip.diplomacy.LazyRawModuleImp.instantiate(LazyModule.scala:344)
[error]         at freechips.rocketchip.diplomacy.LazyRawModuleImp.$anonfun$x$22$2(LazyModule.scala:357)
[error]         at chisel3.withClockAndReset$.apply(MultiClock.scala:26)
[error]         at freechips.rocketchip.diplomacy.LazyRawModuleImp.$anonfun$x$22$1(LazyModule.scala:357)
[error]         at chisel3.internal.plugin.package$.autoNameRecursivelyProduct(package.scala:48)
[error]         at freechips.rocketchip.diplomacy.LazyRawModuleImp.<init>(LazyModule.scala:356)
[error]         at chipyard.ChipTop$$anon$1.<init>(ChipTop.scala:34)
[error]         at chipyard.ChipTop.$anonfun$module$1(ChipTop.scala:34)
[error]         at chisel3.internal.plugin.package$.autoNameRecursively(package.scala:33)
[error]         at chipyard.ChipTop.module$lzycompute(ChipTop.scala:34)
[error]         at chipyard.ChipTop.module(ChipTop.scala:34)
[error]         at chipyard.TestHarness.$anonfun$dut$2(TestHarness.scala:90)
[error]         at chisel3.Module$.do_apply(Module.scala:53)
[error]         at chipyard.TestHarness.$anonfun$dut$1(TestHarness.scala:90)
[error]         at chisel3.internal.plugin.package$.autoNameRecursively(package.scala:33)
[error]         at chipyard.TestHarness.<init>(TestHarness.scala:90)
[error]         at sun.reflect.NativeConstructorAccessorImpl.newInstance0(Native Method)
[error]         at sun.reflect.NativeConstructorAccessorImpl.newInstance(NativeConstructorAccessorImpl.java:62)
[error]         at sun.reflect.DelegatingConstructorAccessorImpl.newInstance(DelegatingConstructorAccessorImpl.java:45)
[error]         at java.lang.reflect.Constructor.newInstance(Constructor.java:423)
[error]         at freechips.rocketchip.stage.phases.PreElaboration.$anonfun$transform$1(PreElaboration.scala:36)
[error]         at chisel3.Module$.do_apply(Module.scala:53)
[error]         at chisel3.stage.phases.Elaborate.$anonfun$transform$2(Elaborate.scala:33)
[error]         at chisel3.internal.Builder$.$anonfun$build$1(Builder.scala:719)
[error]         at scala.util.DynamicVariable.withValue(DynamicVariable.scala:62)
[error]         at chisel3.internal.Builder$.build(Builder.scala:714)
[error]         at chisel3.stage.phases.Elaborate.$anonfun$transform$1(Elaborate.scala:33)
[error]         at scala.collection.TraversableLike.$anonfun$flatMap$1(TraversableLike.scala:245)
[error]         at scala.collection.mutable.ResizableArray.foreach(ResizableArray.scala:62)
[error]         at scala.collection.mutable.ResizableArray.foreach$(ResizableArray.scala:55)
[error]         at scala.collection.mutable.ArrayBuffer.foreach(ArrayBuffer.scala:49)
[error]         at scala.collection.TraversableLike.flatMap(TraversableLike.scala:245)
[error]         at scala.collection.TraversableLike.flatMap$(TraversableLike.scala:242)
[error]         at scala.collection.AbstractTraversable.flatMap(Traversable.scala:108)
[error]         at chisel3.stage.phases.Elaborate.transform(Elaborate.scala:28)
[error]         at chisel3.stage.phases.Elaborate.transform(Elaborate.scala:21)
[error]         at firrtl.options.phases.DeletedWrapper.internalTransform(DeletedWrapper.scala:38
[error]         at firrtl.options.phases.DeletedWrapper.internalTransform(DeletedWrapper.scala:15
[error]         at firrtl.options.Translator.transform(Phase.scala:248)
[error]         at firrtl.options.Translator.transform$(Phase.scala:248)
[error]         at firrtl.options.phases.DeletedWrapper.transform(DeletedWrapper.scala:15)
[error]         at firrtl.options.DependencyManager.$anonfun$transform$5(DependencyManager.scala:280)
[error]         at firrtl.Utils$.time(Utils.scala:181)
[error]         at firrtl.options.DependencyManager.$anonfun$transform$3(DependencyManager.scala:280)
[error]         at scala.collection.LinearSeqOptimized.foldLeft(LinearSeqOptimized.scala:126)
[error]         at scala.collection.LinearSeqOptimized.foldLeft$(LinearSeqOptimized.scala:122)
[error]         at scala.collection.immutable.List.foldLeft(List.scala:89)
[error]         at firrtl.options.DependencyManager.transform(DependencyManager.scala:269)
[error]         at firrtl.options.DependencyManager.transform$(DependencyManager.scala:255)
[error]         at firrtl.options.PhaseManager.transform(DependencyManager.scala:443)
[error]         at chisel3.stage.ChiselStage.run(ChiselStage.scala:45)
[error]         at firrtl.options.Stage$$anon$1.transform(Stage.scala:43)
[error]         at firrtl.options.Stage$$anon$1.transform(Stage.scala:43)
[error]         at firrtl.options.phases.DeletedWrapper.internalTransform(DeletedWrapper.scala:38
[error]         at firrtl.options.phases.DeletedWrapper.internalTransform(DeletedWrapper.scala:15
[error]         at firrtl.options.Translator.transform(Phase.scala:248)
[error]         at firrtl.options.Translator.transform$(Phase.scala:248)
[error]         at firrtl.options.phases.DeletedWrapper.transform(DeletedWrapper.scala:15)
[error]         at firrtl.options.Stage.$anonfun$transform$5(Stage.scala:47)
[error]         at scala.collection.LinearSeqOptimized.foldLeft(LinearSeqOptimized.scala:126)
[error]         at scala.collection.LinearSeqOptimized.foldLeft$(LinearSeqOptimized.scala:122)
[error]         at scala.collection.immutable.List.foldLeft(List.scala:89)
[error]         at firrtl.options.Stage.$anonfun$transform$3(Stage.scala:47)
[error]         at logger.Logger$.$anonfun$makeScope$2(Logger.scala:137)
[error]         at scala.util.DynamicVariable.withValue(DynamicVariable.scala:62)
[error]         at logger.Logger$.makeScope(Logger.scala:135)
[error]         at firrtl.options.Stage.transform(Stage.scala:47)
[error]         at firrtl.options.Stage.execute(Stage.scala:58)
[error]         at firrtl.options.StageMain.main(Stage.scala:71)
[error]         at chipyard.Generator.main(Generator.scala)
[error]         at sun.reflect.NativeMethodAccessorImpl.invoke0(Native Method)
[error]         at sun.reflect.NativeMethodAccessorImpl.invoke(NativeMethodAccessorImpl.java:62)
[error]         at sun.reflect.DelegatingMethodAccessorImpl.invoke(DelegatingMethodAccessorImpl.java:43)
[error]         at java.lang.reflect.Method.invoke(Method.java:498)
[error] stack trace is suppressed; run last Compile / bgRunMain for the full output
[error] Nonzero exit code: 1

Philipp Schmitz

unread,
Jun 21, 2022, 4:15:46 AM6/21/22
to Chipyard
Hi,

this is the error you need to take a look at [error] Caused by: java.lang.IllegalArgumentException: requirement failed: Must be: nHarts=0 > 0 && nHarts <= PLICParams.maxHarts=15872
The config you are using does not specify any cores.
As stated in the tutorial you need to take a look at chipyard/generators/chipyard/src/main/scala/config/TutorialConfigs.scala and specify your desired config, i.e. uncomment the marked lines.
But make sure to read and understand chipyard/generators/chipyard/src/main/scala/config/AbstractConfig.scala first.

Regards

Haeun Lee

unread,
Jun 21, 2022, 4:20:07 AM6/21/22
to Chipyard
Oh I thought I don't need to comment them out.

Thank you very much!
2022년 6월 21일 화요일 오후 5시 15분 46초 UTC+9에 schmitz.p...@gmail.com님이 작성:
Reply all
Reply to author
Forward
0 new messages