Cannot run any simulations

1,065 views
Skip to first unread message

Stefan Musat

unread,
Jul 13, 2016, 9:45:24 AM7/13/16
to ModelSim PE Student Edition
Hi guys,

I just installed ModelSim PE Student Edition 10.4a and encounter some issues.
Below is the code that i'm using:

module increment(
    input inc,
    input [3:0] a,
    output reg [3:0] out
);
 
always @(*)
    out = inc ? a + 1 : a;
 
endmodule

I created a new project, saved it, compiled it and after that i went to Library to find increment module under work and to simulate it.

After i try to simulate it it gives me the below error:

# Reading C:/Modeltech_pe_edu_10.4a/tcl/vsim/pref.tcl
# Loading project 1
# Compile of a1.v was successful.
vsim work.increment
# //  ModelSim PE Student Edition 10.4a Apr  7 2015 
# //
# //  Copyright 1991-2015 Mentor Graphics Corporation
# //  All Rights Reserved.
# //
# //  THIS WORK CONTAINS TRADE SECRET AND PROPRIETARY INFORMATION
# //  WHICH IS THE PROPERTY OF MENTOR GRAPHICS CORPORATION OR ITS
# //  LICENSORS AND IS SUBJECT TO LICENSE TERMS.
# //  THIS DOCUMENT CONTAINS TRADE SECRETS AND COMMERCIAL OR FINANCIAL
# //  INFORMATION THAT ARE PRIVILEGED, CONFIDENTIAL, AND EXEMPT FROM
# //  DISCLOSURE UNDER THE FREEDOM OF INFORMATION ACT, 5 U.S.C. SECTION 552.
# //  FURTHERMORE, THIS INFORMATION IS PROHIBITED FROM DISCLOSURE UNDER
# //  THE TRADE SECRETS ACT, 18 U.S.C. SECTION 1905.
# //
# // NOT FOR CORPORATE OR PRODUCTION USE.
# // THE ModelSim PE Student Edition IS NOT A SUPPORTED PRODUCT.
# // FOR HIGHER EDUCATION PURPOSES ONLY
# //
# vsim -gui 
# Start time: 16:38:24 on Jul 13,2016
# Loading work.increment
# ** Fatal: (SIGSEGV) Bad handle or reference.
#    Time: 0 ns  Iteration: 0  Instance: /increment File: C:/Modeltech_pe_edu_10.4a/examples/a1.v
# FATAL ERROR while loading design
# Error loading design

I tried it with different codes and it gives me the same error.

Do you know why i receive this error?

Thank you in advance!

Stefan

Larry

unread,
Jul 13, 2016, 8:39:00 PM7/13/16
to ModelSim PE Student Edition
Hi Stefan,

I just ran your code and there is nothing wrong with it.  The "Fatal: (SIGSEGV) " error seems to indicate that there is either something wrong with your installation or there is something wrong with the way the project got created.

Why don't you run a simple test to see if it is your installation.

1.  Exit/close your project.
2.  Go to the ModelSim examples directory (C:/Modeltech_pe_edu_10.4a/examples/tutorials/verilog/basicSimulation)
3.  Create a work library in that directory  (vlib work)
4.  Compile the counter example there. (vlog counter.v tcounter.v)
5.  Load the simulation  (vsim work.test_counter)

If the simulation loads then there is something wrong with the project  (delete the project).  I usually don't use the project feature.
If you get the same error then try to delete and reinstall ModelSim.  You will need to request a new license.

Regards
Larry

Stefan Musat

unread,
Jul 15, 2016, 2:15:23 AM7/15/16
to ModelSim PE Student Edition
Hello,

Thank you for your reply.

I think i followed your steps correctly but unfortunately i get the same error.

Steps that i tried:
1. Closed the project
2. Created a new work library with the path: C:/Modeltech_pe_edu_10.4a/examples/tutorials/verilog/basicSimulation/work
3. Opened counter.v and tcounter.v from C:\Modeltech_pe_edu_10.4a\examples\tutorials\verilog\basicSimulation
4.Compiled them
5. Load the simulation and got the same error

Reinstalled it on multiple computers and i get the same error.

Here is the transcript:
vdel -all -lib work
vlib C:/Modeltech_pe_edu_10.4a/examples/tutorials/verilog/basicSimulation/work
vmap work C:/Modeltech_pe_edu_10.4a/examples/tutorials/verilog/basicSimulation/work
# Model Technology ModelSim PE vmap 10.4a Lib Mapping Utility 2015.03 Apr  7 2015
# vmap -modelsim_quiet work C:/Modeltech_pe_edu_10.4a/examples/tutorials/verilog/basicSimulation/work 
# Modifying modelsim.ini
vlog -reportprogress 300 -work work C:/Modeltech_pe_edu_10.4a/examples/tutorials/verilog/basicSimulation/counter.v
# Model Technology ModelSim PE Student Edition vlog 10.4a Compiler 2015.03 Apr  7 2015
# Start time: 12:12:30 on Jul 14,2016
# vlog -reportprogress 300 -work work C:/Modeltech_pe_edu_10.4a/examples/tutorials/verilog/basicSimulation/counter.v 
# -- Compiling module counter
# Top level modules:
counter
# End time: 12:12:30 on Jul 14,2016, Elapsed time: 0:00:00
# Errors: 0, Warnings: 0
vlog -reportprogress 300 -work work C:/Modeltech_pe_edu_10.4a/examples/tutorials/verilog/basicSimulation/tcounter.v
# Model Technology ModelSim PE Student Edition vlog 10.4a Compiler 2015.03 Apr  7 2015
# Start time: 12:12:30 on Jul 14,2016
# vlog -reportprogress 300 -work work C:/Modeltech_pe_edu_10.4a/examples/tutorials/verilog/basicSimulation/tcounter.v 
# -- Compiling module test_counter
# Top level modules:
test_counter
# End time: 12:12:30 on Jul 14,2016, Elapsed time: 0:00:00
# Errors: 0, Warnings: 0
vsim work.test_counter
# vsim 
# Start time: 12:13:05 on Jul 14,2016
# Loading work.test_counter
# Loading work.counter
# ** Fatal: (SIGSEGV) Bad handle or reference.
#    Time: 0 ns  Iteration: 0  Instance: /test_counter File: C:/Modeltech_pe_edu_10.4a/examples/tutorials/verilog/basicSimulation/tcounter.v
# FATAL ERROR while loading design
# Error loading design
vsim work.counter
# vsim 
# Start time: 12:13:07 on Jul 14,2016
# Loading work.counter
# ** Fatal: (SIGSEGV) Bad handle or reference.
#    Time: 0 ns  Iteration: 0  Instance: /counter File: C:/Modeltech_pe_edu_10.4a/examples/tutorials/verilog/basicSimulation/counter.v
# FATAL ERROR while loading design
# Error loading design


Do you have any other ideas?

Once again, thank you very much for your help.

Stefan

Larry

unread,
Jul 18, 2016, 8:25:20 PM7/18/16
to ModelSim PE Student Edition
Hi,

I'm not sure what your problem might be.  Based on your transcript it appears that you are doing everything correctly.  The SIGSEGV error is very ambiguous and could means almost anything.  My first instinct would be a corrupt installation but it appears that you have installed ModelSim on different systems with the same result so that rules out the install itself.  The only other thing I could think of would be a license file corruption.  I'm assuming that you got a new license file with each install of ModelSim, correct?

When I rename my license file I get an actual license error message so I would not expect the SIGSEGV error for license problems.

Regards
Larry

dlp

unread,
Jul 22, 2016, 3:52:45 AM7/22/16
to ModelSim PE Student Edition
Stefan,

Do you see any files with the .vstf extension in your working directory?

Thx,
Dlp
Message has been deleted

Stefan Musat

unread,
Jul 25, 2016, 2:56:06 AM7/25/16
to ModelSim PE Student Edition
Hello,

No *.vstf files appear in the working directory :(

Stefan

Bogdan Todea

unread,
Jun 30, 2017, 8:08:31 AM6/30/17
to ModelSim PE Student Edition
Hello, 

   I have the same issue. Followed the steps above and the same result: 

# vsim -gui 
# Start time: 15:00:10 on Jun 30,2017
# Loading work.test_counter
# Loading work.counter
# ** Fatal: (SIGSEGV) Bad handle or reference.
#    Time: 0 ns  Iteration: 0  Instance: /test_counter File: tcounter.v
# FATAL ERROR while loading design
# Error loading design

Same with even with the simplest design, like: 

module test();
endmodule

Two of my colleagues have the same issue, with the same version of Models PE Student Edition. 

Is there a known fix for this? 

Thanks and Best Regards,
Bogdan
Reply all
Reply to author
Forward
0 new messages