Work library is empty after compiling selected file. Please Help!

1,620 views
Skip to first unread message

John Chen

unread,
Apr 9, 2015, 1:16:17 AM4/9/15
to modelsim-pe-s...@googlegroups.com
Anyone knows how I can solve the problem Work library is empty after compiling selected file?
Message has been deleted

Priyanshu Mishra

unread,
May 22, 2020, 5:15:25 PM5/22/20
to ModelSim PE Student Edition


On Wednesday, April 8, 2015 at 10:16:17 PM UTC-7, John Chen wrote:
Anyone knows how I can solve the problem Work library is empty after compiling selected file?

 Use a text editor or Modelsim file editor. use the following library:

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
Reply all
Reply to author
Forward
0 new messages