error loading design

144 views
Skip to first unread message

Raghavendra Abhishek

unread,
Feb 4, 2014, 1:54:19 PM2/4/14
to modelsim-pe-s...@googlegroups.com
ModelSim PE Student Edition license key file not found at C:\Modeltech_pe_edu_10.3\win32pe_edu\..\student_license.dat


so where exactly are we supposed to move to student_license.dat file?

I hope that will fix errors

Rudresha M

unread,
Feb 17, 2015, 7:09:47 AM2/17/15
to modelsim-pe-s...@googlegroups.com
C:\Modeltech_pe_edu_10.4......
place licen copy u recived via mail
Reply all
Reply to author
Forward
0 new messages