Error loading design

1,481 views
Skip to first unread message

mta...@gmail.com

unread,
Nov 10, 2007, 3:19:38 PM11/10/07
to ModelSim PE Student Edition
I got the license file through email so i managed to get modelsim
Student Edition 6.3c to
compile files. Now I'm following provided tutorial that shows how to
set up work
library and start compiling counter.vhd and tcounter.vhd files.

I compile the two files successfully ( which were copied from examples
directory to
a new directory)

I double click on the test_counter compiled file and i get

# vsim work.test_counter
# ** Error: ModelSim PE Student Edition license key file not found at
C:\Modeltech_pe_edu_6.3c\win32pe_edu\..\student_license.dat.
# ** FATAL ERROR: ModelSim PE Student Edition licensing failure due to
one or more problems with the license key such as:
# - it is not found
# - it has expired
# - it is not for this user
# - it is not for this computer
# - it is not for this version of ModelSim PE Student Edition.
#
# Please go to http://www.model.com and download an updated copy of
the ModelSim PE Student Edition.
#Error loading design

As per tutorial explanation im supposed to get a simulation window
showing signals

Is this error asociated with my lisense setup or due to logic.

I'm lost at this point.. need help please

Larry

unread,
Nov 12, 2007, 4:47:17 PM11/12/07
to ModelSim PE Student Edition
I don't think you have a valid ModelSim PE Student Edition license.
Un-install and Re-install PE student edition again and request another
licenses.

On Nov 10, 12:19 pm, "mtah...@gmail.com" <mtah...@gmail.com> wrote:
> I got the license file through email so i managed to get modelsim
> Student Edition 6.3c to
> compile files. Now I'm following provided tutorial that shows how to
> set up work
> library and start compiling counter.vhd and tcounter.vhd files.
>
> I compile the two files successfully ( which were copied from examples
> directory to
> a new directory)
>
> I double click on the test_counter compiled file and i get
>
> # vsim work.test_counter
> # ** Error: ModelSim PE Student Edition license key file not found at
> C:\Modeltech_pe_edu_6.3c\win32pe_edu\..\student_license.dat.
> # ** FATAL ERROR: ModelSim PE Student Edition licensing failure due to
> one or more problems with the license key such as:
> # - it is not found
> # - it has expired
> # - it is not for this user
> # - it is not for this computer
> # - it is not for this version of ModelSim PE Student Edition.
> #

> # Please go tohttp://www.model.comand download an updated copy of

mta...@gmail.com

unread,
Nov 13, 2007, 3:11:22 PM11/13/07
to ModelSim PE Student Edition
hi Larry,

The lisense file i receive has only "84b06111f0024736555e04d0d8366763"
characters printed in it. Could you kindly very its validity because
I get the same file and caharacter string every time i a request a
license file. Thanks!


Regards

Martin

Larry

unread,
Nov 14, 2007, 1:45:42 PM11/14/07
to ModelSim PE Student Edition
Martin,

Is the file containing the string called student_license.dat and is it
located
in the Modeltech_pe_edu_6.3c folder?

akasiliv

unread,
Nov 22, 2007, 1:10:38 PM11/22/07
to ModelSim PE Student Edition
i have exactly the same problem.

akasiliv

unread,
Nov 22, 2007, 2:32:01 PM11/22/07
to ModelSim PE Student Edition
Reinstallation and requesting a new license did not help.
PS: OS is Windows Vista if it can help.

akasiliv

unread,
Nov 22, 2007, 3:53:36 PM11/22/07
to ModelSim PE Student Edition
I found the reason of this problem.
I am requesting a license as an user (without administrator
privileges) whereas installation is done with administrator privileges
(I am asked for a password).
Probably there is a conflict.
So, if I install and request a lisence as an administrator everything
works, but I can not run Modelsim as a user.
Do you have any ideas how to solve this problem?

Thank you.

Larry

unread,
Nov 26, 2007, 2:17:12 PM11/26/07
to ModelSim PE Student Edition
Yes, install ModelSim as a user then you can run it as a user.

dhalla_...@rediffmail.com

unread,
Dec 28, 2007, 1:12:55 PM12/28/07
to ModelSim PE Student Edition
Hello All,
I have installed ModelSim SE 6.3c and I am facing the same problem as
stated by mta...@gmail.com. I did everything as told by them, but
design is not simulating and showing me the same error as
mta...@gmail.com. I installed it with administrator account and using
it in same. Did anybody get any new ideas?
Thanks..

loc...@noos.fr

unread,
Feb 1, 2008, 6:58:13 PM2/1/08
to ModelSim PE Student Edition
Hello,

I have just installed "ModelSim PE Student Edition 6.3c". I am
familiar with modelsim, but that"t the first time I use the student
version.

I am following the verilog example with the files "counter.v" and
"tcounter.v". The files are properly compliled, now I am trying to
load the design for the simulation.

As written in the tutorial, I am double-clicking test_counter to load
the design.

It says:

ModelSim > vsim work.test_counter
# vsim work.test_counter
# Error loading design

Did you ever get this error message ?

thanks, julien


On Dec 28 2007, 12:12 pm, "dhalla_rupin...@rediffmail.com"
<dhalla_rupin...@rediffmail.com> wrote:
> Hello All,
> I have installed ModelSim SE 6.3c and I am facing the same problem as
> stated by mtah...@gmail.com. I did everything as told by them, but
> design is not simulating and showing me the same error as
> mtah...@gmail.com. I installed it with administrator account and using

prad...@abv.bg

unread,
Feb 22, 2008, 10:30:33 AM2/22/08
to ModelSim PE Student Edition
I experience the same problem.

Bart Tomczyk

unread,
Feb 22, 2008, 10:56:23 AM2/22/08
to ModelSim PE Student Edition
Hi,

I'm getting the FATAL ERROR license problem as well.
I got this working successfully a few weeks ago on my laptop but I
tried installing it on my PC yesterday and it didn't work.
And yes, I re-downloaded the package and filled out the forms
again...and I followed the instructions regarding the license file and
where to put it.

To me, it seems that the license file has been generated incorrectly.
I think it's supposed to contain 32 hexadecimal digits - this is the
format of the valid license file on my laptop. However, the one I
received yesterday contains: beed!
1124=47QUESac33TION1~14668f ...obviously not HEX.
I dont think this is a .uue encoding issue either.

Does someone have a solution to this??

Oh, and I did make sure my installation/project directories do not
contain any spaces (they're right in the C:\ directory).

Thanks,
Bart

Rahul Mathur

unread,
Jun 28, 2013, 1:49:12 AM6/28/13
to modelsim-pe-s...@googlegroups.com
 vsim -gui work.raul
# Error loading design
wat2do?
Reply all
Reply to author
Forward
0 new messages