Aide (main.vhd(15): near "in": (vcom-1576) expecting ':'.)

33 views
Skip to first unread message

Paul W

unread,
May 12, 2016, 8:23:17 AM5/12/16
to ModelSim PE Student Edition
Bonjour à tous,
J'ai un soucis et la ça me sort par les trous de nez !
L'erreur modelsim est dans l'objet de ce sujet ligne 15. Le "in" correspond au in de mon premier port mais je ne comprend pas. J'imagine que l'erreur est avant l'entité mais c'est juste les librairie de base :\
A moins que mon modelsim soit mal configuré mais ça m'étonnerai

Quelqu'un à une idée ?
Merci :)

library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;


entity MTS_algo is 
port(  
(15) trigger_direct in: std_logic;                                         
vect_in_0 in: std_logic_vector(96 downto 0);
vect_in_clk in: std_logic
); 
end MTS_algo;



Dorin Dragan

unread,
May 12, 2016, 8:29:30 AM5/12/16
to Paul W, ModelSim PE Student Edition
Bonjour Paul,

Les mots "in", "out" et "inout" doivent etre mit apres ":".

Comme ca:

entity MTS_algo is 
port(
(15) trigger_direct : in std_logic;                                         
vect_in_0 : in std_logic_vector(96 downto 0);
vect_in_clk : in std_logic
);
end MTS_algo;

Dorin

--
You received this message because you are subscribed to the Google Groups "ModelSim PE Student Edition" group.
To unsubscribe from this group and stop receiving emails from it, send an email to modelsim-pe-student...@googlegroups.com.
To post to this group, send email to modelsim-pe-s...@googlegroups.com.
Visit this group at https://groups.google.com/group/modelsim-pe-student-edition.
For more options, visit https://groups.google.com/d/optout.

Paul W

unread,
May 12, 2016, 8:34:39 AM5/12/16
to ModelSim PE Student Edition
Merci... Trop de code m'a tué j'avais même pas remarqué :\
C'est con comme erreur
Désolé ^^" 
To unsubscribe from this group and stop receiving emails from it, send an email to modelsim-pe-student-edition+unsub...@googlegroups.com.
Reply all
Reply to author
Forward
0 new messages