uvm_pkg not defined

1,437 views
Skip to first unread message

Peter Lin

unread,
May 31, 2016, 5:52:20 PM5/31/16
to EDA Playground
Trying to run vcs with UVM, but seeing this issue

Error-[SV-LCM-PND] Package not defined
tb_pkg.sv, 19
tb_pkg, "uvm_pkg::" ,-- uvm_pkg is imported in tb_pkg.v

Anyone know how to fix this?

thanks
/Pete

Peter Lin

unread,
May 31, 2016, 6:00:59 PM5/31/16
to EDA Playground
the compile opt. used
-f run.f   +warn=all -sverilog -ntb_opts +UVM_TESTNAME=test1

do I need a run option???

EDA Playground

unread,
Jun 1, 2016, 9:17:55 AM6/1/16
to EDA Playground

Please can you post a URL to the example that doesn't work? (And make it public by ticking the "Public" tickbox.)

Cheers,

Matthew

Peter Lin

unread,
Jun 1, 2016, 12:28:02 PM6/1/16
to EDA Playground
I missed uvm after -nth_opts.  I have passed this hurdle, but seeing other issue which you are helping on the 'ld returned' problem.

thanks
Reply all
Reply to author
Forward
0 new messages