Google Groups no longer supports new Usenet posts or subscriptions. Historical content remains viewable.
Dismiss

modelsim error No. vsim-3381, please help me.

916 views
Skip to first unread message

Chao

unread,
Apr 7, 2003, 6:27:50 PM4/7/03
to
Hello, everyone.

I have tried to solve the problem for one hour but still
unsuccessfully.
I delete the old project and recreate a new one. Doesn't help
anything.
Does anybody know the following error message from ModelSim 5.6c.
<vsim-3381>. What can I do? Thanks for your help.

# Loading C:/PROGRAMME/MODELSIM/WIN32/../std.standard
# Loading C:/PROGRAMME/MODELSIM/WIN32/../std.textio(body)
# Loading C:/PROGRAMME/MODELSIM/WIN32/../ieee.std_logic_1164(body)
# Loading C:/PROGRAMME/MODELSIM/WIN32/../ieee.numeric_std(body)
# Loading C:/PROGRAMME/MODELSIM/WIN32/../ieee.std_logic_textio(body)
# Loading work.cd_pkg
# Loading C:/PROGRAMME/MODELSIM/WIN32/../ieee.std_logic_arith(body)
# Loading C:/PROGRAMME/MODELSIM/WIN32/../ieee.std_logic_unsigned(body)
# Loading work.constants
# Loading work.tb_sh_to_ts(ar)
# Loading C:/PROGRAMME/MODELSIM/WIN32/../ieee.vital_timing(body)
# Loading C:/Philips/Library/unisim.vcomponents
# ** Fatal: (vsim-3381) Obsolete library format for design unit. (See
design unit listed above.)
# Time: 0 ps Iteration: 0 Region: /tb_sh_to_ts/dut
# FATAL ERROR while loading design
# Error loading design

Mike Treseler

unread,
Apr 7, 2003, 7:15:11 PM4/7/03
to
Chao wrote:

> # Loading C:/Philips/Library/unisim.vcomponents
> # ** Fatal: (vsim-3381) Obsolete library format for design unit. (See
> design unit listed above.)
> # Time: 0 ps Iteration: 0 Region: /tb_sh_to_ts/dut
> # FATAL ERROR while loading design
> # Error loading design

You need to recompile the source for C:/Philips/Library/unisim.vcomponents

-- Mike Treseler

Dan RADUT

unread,
Apr 8, 2003, 3:57:32 AM4/8/03
to
Taking into account the error message <Obsolete library format for design unit>
the problem is (most likely) the incompatibility between your synthesis tool
component library and modelsim library used for simulation.
Solution: go to the web site of the synthesis tool provider and download the
S/W as he recommends in order to update the libraries. You need to update the
libraries (both or at least one) to make modelsim able to support the simulation
of the components(primitives) included in your synthesis tool components library
(C:/Philips/Library/unisim.vcomponents).

Regards,

Dan Radut

c.c...@gmx.de (Chao) wrote in message news:<8228a344.03040...@posting.google.com>...

Kim Enkovaara

unread,
Apr 8, 2003, 3:47:56 AM4/8/03
to

Or just use the vcom -work <lib> -refresh command.

--Kim

rossb

unread,
Aug 24, 2005, 7:23:51 AM8/24/05
to
Actually, it has nothing to do with your synthesis tool.

I know this is years too late, but hopefully it will help anyone else
having this problem like I did. The solution can be found in the manual
for ModelSim.

the following commands should fix it

vcom -work <troubling library> -refresh
vlog -work <troubling library> -refresh

where <troubling library> is the library that is stuffing up.

0 new messages