Google Groups no longer supports new Usenet posts or subscriptions. Historical content remains viewable.
Dismiss

VHDL 2008 support in Modelsim?

2,690 views
Skip to first unread message

Anssi Saari

unread,
Dec 5, 2014, 7:48:05 AM12/5/14
to

Is Modelsim still not implementing VHDL 2008? I have some code with the
"new" if ... generate with else branch but Modelsim 10.1e doesn't seem
to support that. Or is it just that the Altera's Starter Edition doesn't
support that? I don't have a Modelsim PE or SE installed right now... I
tried case in generate as well but it didn't work any better.

Example code, vcom -2008 says
** Error: generate_prob.vhdl(20): near "else": syntax error
(line 20 is the else generate line.)

LIBRARY ieee;
USE ieee.std_logic_1164.ALL;

entity dummy is
generic (
some_boolean_generic : boolean := false);
port(
clk : in std_logic;
reset_n : in std_logic;
dout : out std_logic
);
end dummy;

architecture dummy_arch of dummy is

begin

some_label: if some_boolean_generic = false generate
dout <= '0';
else generate
dout <= '1';
end generate some_label;

end dummy_arch;

rickman

unread,
Dec 5, 2014, 7:58:11 AM12/5/14
to
I don't know specifically about Modelsim, but in many tools the VHDL
2008 features have to be turned on in the options. Try nosing around to
see if you can find that.

Rick
--

Rick

HT-Lab

unread,
Dec 5, 2014, 9:24:15 AM12/5/14
to
On 05/12/2014 12:48, Anssi Saari wrote:
>
> Is Modelsim still not implementing VHDL 2008? I have some code with the
> "new" if ... generate with else branch but Modelsim 10.1e doesn't seem
> to support that. Or is it just that the Altera's Starter Edition doesn't
> support that? I don't have a Modelsim PE or SE installed right now... I
> tried case in generate as well but it didn't work any better.

Modelsim 10.1e was released in June of 2013. Your code compiled OK in
the latest 10.3d release.

Modelsim SE is an obsolete product and replaced by Questa core.

Regards,
Hans
www.ht-lab.com

Daniel Kho

unread,
Dec 18, 2014, 4:05:02 PM12/18/14
to
The ModelSim-Altera version 10.1b (Apr 2012) supports VHDL-2008 pretty well. It's free (as in beer) - you can get it from Altera's website.

-dan

sockp...@gmail.com

unread,
Jul 29, 2017, 3:08:44 AM7/29/17
to
Does VHDL-2008 need to be activated?

Allan Herriman

unread,
Jul 30, 2017, 2:13:59 AM7/30/17
to
Not activated, but the VHDL compiler, vcom, takes a command line flag to
indicate which version of VHDL you want to apply.
e.g. vcom -93
or
vcom -2008


You can also put a default version in modelsim.ini

[vcom]
; VHDL93 variable selects language version as the default.
VHDL93 = 2008


Regards,
Allan

Weng Tianxiang

unread,
Nov 13, 2017, 1:18:21 PM11/13/17
to
Altera final Modelsim Web edition is:
Subscription Edition Stratix (V,IV)
Arria (10,V GZ,V,II GZ,II GX)
Cyclone (V,IV E,IV GX)
MAX (10,V,II)
Web Edition Arria (II GX)
Cyclone (V,IV E,IV GX)
MAX (10,V,II)

Weng Tianxiang

unread,
Nov 13, 2017, 1:36:26 PM11/13/17
to
Does it work for me if I download only the ModelSim compiler system without any device support. Because at the moment I don't have any Altera device selected and targeted, and try to determine if my design simulation works independently.
0 new messages