page fault in __asan_load8 (6)

3 views
Skip to first unread message

syzbot

unread,
Jul 17, 2022, 2:43:23 AM7/17/22
to syzkaller-...@googlegroups.com
Hello,

syzbot found the following issue on:

HEAD commit: fc514a2e6508 lint: add more details to message about point..
git tree: netbsd
console output: https://syzkaller.appspot.com/x/log.txt?x=1120ab8c080000
kernel config: https://syzkaller.appspot.com/x/.config?x=fab579639ba4bf0a
dashboard link: https://syzkaller.appspot.com/bug?extid=c0d9e49f22e571650736
compiler: g++ (Debian 10.2.1-6) 10.2.1 20210110

Unfortunately, I don't have any reproducer for this issue yet.

IMPORTANT: if you fix the issue, please add the following tag to the commit:
Reported-by: syzbot+c0d9e4...@syzkaller.appspotmail.com

[ 64.9586824] fatal page fault in supervisor mode
[ 64.9686814] trap type 6 code 0 rip 0xffffffff81b82a0b cs 0x8 rflags 0x10287 cr2 0xffff900000000000 ilevel 0x4 rsp 0xffffab819d8c0830
[ 64.9786807] curlwp 0xffffab8012d6d480 pid 351.351 lowest kstack 0xffffab819d8b92c0
kernel: page fault trap, code=0
Stopped in pid 351.351 (dhcpcd) at netbsd:__asan_load8+0x6c: movzbl 0(%rax),%r8d
?
__asan_load8() at netbsd:__asan_load8+0x6c kasan_shadow_1byte_isvalid sys/kern/subr_asan.c:310 [inline]
__asan_load8() at netbsd:__asan_load8+0x6c kasan_shadow_2byte_isvalid sys/kern/subr_asan.c:323 [inline]
__asan_load8() at netbsd:__asan_load8+0x6c kasan_shadow_4byte_isvalid sys/kern/subr_asan.c:343 [inline]
__asan_load8() at netbsd:__asan_load8+0x6c kasan_shadow_8byte_isvalid sys/kern/subr_asan.c:363 [inline]
__asan_load8() at netbsd:__asan_load8+0x6c kasan_shadow_check sys/kern/subr_asan.c:420 [inline]
__asan_load8() at netbsd:__asan_load8+0x6c sys/kern/subr_asan.c:1207
sysctl_rtable() at netbsd:sysctl_rtable+0x749 sysctl_iflist sys/net/rtsock.c:319 [inline]
sysctl_rtable() at netbsd:sysctl_rtable+0x749 sys/net/rtsock.c:477
sysctl_dispatch() at netbsd:sysctl_dispatch+0x19d sys/kern/kern_sysctl.c:461
sys___sysctl() at netbsd:sys___sysctl+0x23c sys/kern/kern_sysctl.c:317
syscall() at netbsd:syscall+0x25a sy_call sys/sys/syscallvar.h:65 [inline]
syscall() at netbsd:syscall+0x25a sy_invoke sys/sys/syscallvar.h:94 [inline]
syscall() at netbsd:syscall+0x25a sys/arch/x86/x86/syscall.c:138
--- syscall (number 202) ---
netbsd:syscall+0x25a:
Panic string: (null)
PID LID S CPU FLAGS STRUCT LWP * NAME WAIT
1765 >1456 7 0 0 ffffab801340a2c0 syz-executor.5
1765 1765 2 0 10000000 ffffab801339c200 syz-executor.5
579 1513 2 0 0 ffffab801335e9c0 syz-executor.2
579 1605 3 1 180 ffffab801335e580 syz-executor.2 parked
579 579 2 0 10000000 ffffab8013c38180 syz-executor.2
1442 1382 3 0 180 ffffab8013419740 syz-executor.1 parked
1442 1442 2 0 10000000 ffffab8012ca9580 syz-executor.1
1452 1724 2 1 100 ffffab80133715c0 syz-executor.3
1452 1452 2 0 10000000 ffffab80133c76c0 syz-executor.3
1245 1615 3 0 180 ffffab8013419300 syz-executor.0 parked
1245 1245 2 0 10000000 ffffab80133b3680 syz-executor.0
1453 1620 2 1 40000 ffffab8012cd4a80 syz-executor.4
1453 1627 2 1 40100 ffffab8012c5cbc0 syz-executor.4
1453 1453 2 1 10040000 ffffab8012d824c0 syz-executor.4
1082 1082 2 1 140 ffffab8013e43240 syz-executor.5
1130 1130 3 0 180 ffffab8013ddaa80 syz-executor.4 nanoslp
1086 1086 3 0 180 ffffab8013dda640 syz-executor.1 nanoslp
1227 1227 3 0 180 ffffab8013da5a40 syz-executor.3 nanoslp
422 422 3 0 180 ffffab8013da5600 syz-executor.2 nanoslp
1223 1223 3 1 180 ffffab8013da51c0 syz-executor.0 nanoslp
1194 1222 3 1 180 ffffab8013dda200 syz-fuzzer parked
1194 1105 3 0 180 ffffab8012a9e080 syz-fuzzer kqueue
1194 1224 3 0 180 ffffab8013472580 syz-fuzzer parked
1194 972 3 1 180 ffffab8013472140 syz-fuzzer parked
1194 1220 3 0 180 ffffab8013c38a00 syz-fuzzer parked
1194 1113 3 0 180 ffffab8013c385c0 syz-fuzzer parked
1194 1054 3 1 180 ffffab80126c8b80 syz-fuzzer parked
1194 1151 3 1 180 ffffab80126c8300 syz-fuzzer parked
1194 1194 3 1 180 ffffab8013371180 syz-fuzzer parked
1079 1079 3 1 180 ffffab8013339100 sshd select
1076 1076 3 1 180 ffffab80133b3240 getty nanoslp
1071 1071 3 1 180 ffffab801338ea40 getty nanoslp
1259 1259 3 1 180 ffffab801339c640 getty nanoslp
1252 1252 3 1 1c0 ffffab801344f980 getty ttyraw
1067 1067 3 1 180 ffffab801338e600 sshd select
949 949 3 0 180 ffffab8012d40340 powerd kqueue
690 690 3 0 180 ffffab80133c7b00 syslogd kqueue
602 602 3 1 180 ffffab8012c1b700 dhcpcd poll
547 547 3 0 180 ffffab8012c82900 dhcpcd poll
464 464 3 0 0 ffffab8012c4eb80 dhcpcd xchicv
589 589 3 1 180 ffffab8012c4e300 dhcpcd poll
289 289 3 0 180 ffffab8012d82080 dhcpcd poll
288 288 3 0 180 ffffab8012d6d8c0 dhcpcd poll
351 > 351 7 1 0 ffffab8012d6d480 dhcpcd
1 1 3 0 180 ffffab80128559c0 init wait
0 819 3 0 200 ffffab8012975ac0 physiod physiod
0 194 3 0 200 ffffab801298cb00 pooldrain pooldrain
0 193 3 0 200 ffffab801298c6c0 ioflush syncer
0 192 3 1 200 ffffab801298c280 pgdaemon pgdaemon
0 169 3 0 200 ffffab8012975240 usb7 usbevt
0 167 3 0 200 ffffab801292fa80 usb6 usbevt
0 165 3 0 200 ffffab801292f640 usb5 usbevt
0 164 2 0 240 ffffab801292f200 usb4
0 31 3 0 200 ffffab80128e2a40 usb3 usbdly
0 63 3 0 200 ffffab80128e2600 usb2 usbevt
0 126 3 0 200 ffffab80128e21c0 usb1 usbevt
0 125 3 0 200 ffffab8012869a00 usb0 usbevt
0 124 3 1 200 ffffab80128695c0 usbtask-dr usbtsk
0 123 3 1 200 ffffab80120b66c0 usbtask-hc usbtsk
0 122 3 1 200 ffffab8012869180 npfgc0 npfgcw
0 121 3 1 200 ffffab8012855580 rt_free rt_free
0 120 3 1 200 ffffab8012855140 unpgc unpgc
0 119 3 1 200 ffffab80126fa980 key_timehandler key_timehandler
0 118 3 1 200 ffffab80126fa540 icmp6_wqinput/1 icmp6_wqinput
0 117 3 0 200 ffffab80126fa100 icmp6_wqinput/0 icmp6_wqinput
0 116 3 0 200 ffffab80126ef940 nd6_timer nd6_timer
0 115 3 1 200 ffffab80126ef500 carp6_wqinput/1 carp6_wqinput
0 114 3 0 200 ffffab80126ef0c0 carp6_wqinput/0 carp6_wqinput
0 113 3 1 200 ffffab80126e1900 carp_wqinput/1 carp_wqinput
0 112 3 0 200 ffffab80126e14c0 carp_wqinput/0 carp_wqinput
0 111 3 1 200 ffffab80126e1080 icmp_wqinput/1 icmp_wqinput
0 110 3 0 200 ffffab80126cb8c0 icmp_wqinput/0 icmp_wqinput
0 109 3 0 200 ffffab80126cb480 rt_timer rt_timer
0 108 3 1 200 ffffab80126cabc0 vmem_rehash vmem_rehash
0 99 3 0 200 ffffab80120bbb40 entbutler entropy
0 98 2 0 240 ffffab80120bb700 viomb
0 97 3 1 200 ffffab80120bb2c0 vioif0_txrx/1 vioif0_txrx
0 96 3 0 200 ffffab80120b6b00 vioif0_txrx/0 vioif0_txrx
0 29 3 0 200 ffffab80120b6280 scsibus0 sccomp
0 28 3 0 200 ffffab8010cbaac0 pms0 pmsreset
0 27 3 1 200 ffffab8010cba680 xcall/1 xcall
0 26 1 1 200 ffffab8010cba240 softser/1
0 25 1 1 200 ffffab8010cb9a80 softclk/1
0 24 1 1 200 ffffab8010cb9640 softbio/1
0 23 1 1 200 ffffab8010cb9200 softnet/1
0 22 1 1 201 ffffab800fb55a40 idle/1
0 21 3 0 200 ffffab800fb55600 lnxsyswq lnxsyswq
0 20 3 0 200 ffffab800fb551c0 lnxubdwq lnxubdwq
0 19 3 0 200 ffffab800fb54a00 lnxpwrwq lnxpwrwq
0 18 3 0 200 ffffab800fb545c0 lnxlngwq lnxlngwq
0 17 3 0 200 ffffab800fb54180 lnxhipwq lnxhipwq
0 16 3 0 200 ffffab800fb4b9c0 lnxrcugc lnxrcugc
0 15 3 0 200 ffffab800fb4b580 sysmon smtaskq
0 14 3 0 200 ffffab800fb4b140 pmfsuspend pmfsuspend
0 13 3 0 200 ffffab800fb48980 pmfevent pmfevent
0 12 3 0 200 ffffab800fb48540 sopendfree sopendfr
0 11 3 1 200 ffffab800fb48100 iflnkst iflnkst
0 10 3 0 200 ffffab800fb3c940 nfssilly nfssilly
0 9 3 0 200 ffffab800fb3c500 vdrain vdrain
0 8 3 0 200 ffffab800fb3c0c0 modunload mod_unld
0 7 3 0 200 ffffab800fb33900 xcall/0 xcall
0 6 1 0 200 ffffab800fb334c0 softser/0
0 > 5 7 0 200 ffffab800fb33080 softclk/0
0 4 1 0 200 ffffab800fb318c0 softbio/0
0 3 1 0 200 ffffab800fb31480 softnet/0
0 2 1 0 201 ffffab800fb31040 idle/0
0 0 3 1 200 ffffffff83341240 swapper uvm
[Locks tracked through LWPs]

****** LWP 1765.1456 (syz-executor.5) @ 0xffffab801340a2c0, l_stat=7

*** Locks held:

* Lock 0 (initialized at vcache_alloc)
lock address : 0xffffab8013f93740 type : sleep/adaptive
initialized : 0xffffffff81ca4130
shared holds : 0 exclusive: 1
shares wanted: 0 exclusive: 0
relevant cpu : 0 last held: 0
relevant lwp : 0xffffab801340a2c0 last held: 0xffffab801340a2c0
last locked* : 0xffffffff81cda096 unlocked : 0xffffffff81cda0f8
owner/count : 0xffffab801340a2c0 flags : 0x0000000000000004
Turnstile: no active turnstile for this lock.

* Lock 1 (initialized at vcache_alloc)
lock address : 0xffffab8013f93c40 type : sleep/adaptive
initialized : 0xffffffff81ca4130
shared holds : 0 exclusive: 1
shares wanted: 0 exclusive: 0
relevant cpu : 0 last held: 0
relevant lwp : 0xffffab801340a2c0 last held: 0xffffab801340a2c0
last locked* : 0xffffffff81cda096 unlocked : 000000000000000000
owner/count : 0xffffab801340a2c0 flags : 0x0000000000000004
Turnstile: no active turnstile for this lock.

*** Locks wanted:

* Lock 0 (initialized at main)
lock address : 0xffffffff83480380 type : spin
initialized : 0xffffffff81f6387e
shared holds : 0 exclusive: 1
shares wanted: 0 exclusive: 2
relevant cpu : 0 last held: 1
relevant lwp : 0xffffab801340a2c0 last held: 0xffffab8012d6d480
last locked* : 0xffffffff81b45900 unlocked : 0xffffffff81ac1cd6
curcpu holds : 1 wanted by: 000000000000000000

****** LWP 1245.1245 (syz-executor.0) @ 0xffffab80133b3680, l_stat=2

*** Locks held:

* Lock 0 (initialized at amap_ctor)
lock address : 0xffffab8013ccecc0 type : sleep/adaptive
initialized : 0xffffffff81a4dd3b
shared holds : 0 exclusive: 1
shares wanted: 0 exclusive: 0
relevant cpu : 0 last held: 0
relevant lwp : 0xffffab80133b3680 last held: 0xffffab80133b3680
last locked* : 0xffffffff81a5ea14 unlocked : 0xffffffff81a5d28d
owner/count : 0xffffab80133b3680 flags : 0x0000000000000004
Turnstile: no active turnstile for this lock.

*** Locks wanted:

* Lock 0 (initialized at pmap_ctor)
lock address : 0xffffab8012c92380 type : sleep/adaptive
initialized : 0xffffffff80950189
shared holds : 0 exclusive: 0
shares wanted: 0 exclusive: 1
relevant cpu : 0 last held: 0
relevant lwp : 0xffffab80133b3680 last held: 000000000000000000
last locked : 0xffffffff8094fdea unlocked*: 0xffffffff80950051
owner field : 0xffffab80133b3680 wait/spin: 0/0
Turnstile: no active turnstile for this lock.

****** LWP 547.547 (dhcpcd) @ 0xffffab8012c82900, l_stat=3

*** Locks held: none

*** Locks wanted:

* Lock 0 (initialized at module_hook_init)
lock address : 0xffffffff83480480 type : sleep/adaptive
initialized : 0xffffffff81b0f6c1
shared holds : 0 exclusive: 0
shares wanted: 0 exclusive: 0
relevant cpu : 0 last held: 0
relevant lwp : 0xffffab8012c82900 last held: 000000000000000000
last locked : 000000000000000000 unlocked*: 000000000000000000
owner field : 000000000000000000 wait/spin: 0/0
Turnstile: no active turnstile for this lock.

****** LWP 464.464 (dhcpcd) @ 0xffffab8012c4eb80, l_stat=3

*** Locks held: none

*** Locks wanted:

* Lock 0 (initialized at module_hook_init)
lock address : 0xffffffff83480480 type : sleep/adaptive
initialized : 0xffffffff81b0f6c1
shared holds : 0 exclusive: 0
shares wanted: 0 exclusive: 0
relevant cpu : 0 last held: 0
relevant lwp : 0xffffab8012c4eb80 last held: 000000000000000000
last locked : 000000000000000000 unlocked*: 000000000000000000
owner field : 000000000000000000 wait/spin: 0/0
Turnstile: no active turnstile for this lock.

****** LWP 288.288 (dhcpcd) @ 0xffffab8012d6d8c0, l_stat=3

*** Locks held: none

*** Locks wanted:

* Lock 0 (initialized at module_hook_init)
lock address : 0xffffffff83480480 type : sleep/adaptive
initialized : 0xffffffff81b0f6c1
shared holds : 0 exclusive: 0
shares wanted: 0 exclusive: 0
relevant cpu : 0 last held: 0
relevant lwp : 0xffffab8012d6d8c0 last held: 000000000000000000
last locked : 000000000000000000 unlocked*: 000000000000000000
owner field : 000000000000000000 wait/spin: 0/0
Turnstile: no active turnstile for this lock.

****** LWP 351.351 (dhcpcd) @ 0xffffab8012d6d480, l_stat=7

*** Locks held: none

*** Locks wanted:

* Lock 0 (initialized at module_hook_init)
lock address : 0xffffffff83480480 type : sleep/adaptive
initialized : 0xffffffff81b0f6c1
shared holds : 0 exclusive: 0
shares wanted: 0 exclusive: 0
relevant cpu : 1 last held: 0
relevant lwp : 0xffffab8012d6d480 last held: 000000000000000000
last locked : 000000000000000000 unlocked*: 000000000000000000
owner field : 000000000000000000 wait/spin: 0/0
Turnstile: no active turnstile for this lock.

****** LWP 0.11 (iflnkst) @ 0xffffab800fb48100, l_stat=3

*** Locks held: none

*** Locks wanted:

* Lock 0 (initialized at module_hook_init)
lock address : 0xffffffff83480480 type : sleep/adaptive
initialized : 0xffffffff81b0f6c1
shared holds : 0 exclusive: 0
shares wanted: 0 exclusive: 0
relevant cpu : 1 last held: 0
relevant lwp : 0xffffab800fb48100 last held: 000000000000000000
last locked : 000000000000000000 unlocked*: 000000000000000000
owner field : 000000000000000000 wait/spin: 0/0
Turnstile: no active turnstile for this lock.

****** LWP 0.5 (softclk/0) @ 0xffffab800fb33080, l_stat=7

*** Locks held:

* Lock 0 (initialized at soinit)
lock address : 0xffffab800f67d080 type : sleep/adaptive
initialized : 0xffffffff81c4510f
shared holds : 0 exclusive: 1
shares wanted: 0 exclusive: 0
relevant cpu : 0 last held: 0
relevant lwp : 0xffffab800fb33080 last held: 0xffffab800fb33080
last locked* : 0xffffffff81507891 unlocked : 0xffffffff81c41dae
owner field : 0xffffab800fb33080 wait/spin: 0/0
Turnstile: no active turnstile for this lock.

*** Locks wanted:

* Lock 0 (initialized at module_hook_init)
lock address : 0xffffffff83480480 type : sleep/adaptive
initialized : 0xffffffff81b0f6c1
shared holds : 0 exclusive: 0
shares wanted: 0 exclusive: 0
relevant cpu : 0 last held: 0
relevant lwp : 0xffffab800fb33080 last held: 000000000000000000
last locked : 000000000000000000 unlocked*: 000000000000000000
owner field : 000000000000000000 wait/spin: 0/0
Turnstile: no active turnstile for this lock.

****** LWP 0.0 (swapper) @ 0xffffffff83341240, l_stat=3

*** Locks held: none

*** Locks wanted:

* Lock 0 (initialized at module_hook_init)
lock address : 0xffffffff83480480 type : sleep/adaptive
initialized : 0xffffffff81b0f6c1
shared holds : 0 exclusive: 0
shares wanted: 0 exclusive: 0
relevant cpu : 1 last held: 0
relevant lwp : 0xffffffff83341240 last held: 000000000000000000
last locked : 000000000000000000 unlocked*: 000000000000000000
owner field : 000000000000000000 wait/spin: 0/0
Turnstile: no active turnstile for this lock.

[Locks tracked through CPUs]

******* Locks held on cpu1:

* Lock 0 (initialized at main)
lock address : 0xffffffff83480380 type : spin
initialized : 0xffffffff81f6387e
shared holds : 0 exclusive: 1
shares wanted: 0 exclusive: 2
relevant cpu : 1 last held: 1
relevant lwp : 0xffffab8012d6d480 last held: 0xffffab8012d6d480
last locked* : 0xffffffff81b45900 unlocked : 0xffffffff81ac1cd6
curcpu holds : 1 wanted by: 000000000000000000

PAGE FLAG PQ UOBJECT UANON
0xffffab8000017180 0041 00000000 0x0 0x0
0xffffab8000017200 0041 00000000 0x0 0x0
0xffffab8000017280 0041 00000000 0x0 0x0
0xffffab8000017300 0041 00000000 0x0 0x0
0xffffab8000017380 0041 00000000 0x0 0x0
0xffffab8000017400 0041 00000000 0x0 0x0
0xffffab8000017480 0041 00000000 0x0 0x0
0xffffab8000017500 0041 00000000 0x0 0x0
0xffffab8000017580 0041 00000000 0x0 0x0
0xffffab8000017600 0041 00000000 0x0 0x0
0xffffab8000017680 0041 00000000 0x0 0x0
0xffffab8000017700 0041 00000000 0x0 0x0
0xffffab8000017780 0041 00000000 0x0 0x0
0xffffab8000017800 0041 00000000 0x0 0x0
0xffffab8000017880 0041 00000000 0x0 0x0
0xffffab8000017900 0041 00000000 0x0 0x0
0xffffab8000017980 0041 00000000 0x0 0x0
0xffffab8000017a00 0041 00000000 0x0 0x0
0xffffab8000017a80 0041 00000000 0x0 0x0
0xffffab8000017b00 0041 00000000 0x0 0x0
0xffffab8000017b80 0041 00000000 0x0 0x0
0xffffab8000017c00 0041 00000000 0x0 0x0
0xffffab8000017c80 0041 00000000 0x0 0x0
0xffffab8000017d00 0041 00000000 0x0 0x0
0xffffab8000017d80 0041 00000000 0x0 0x0
0xffffab8000017e00 0041 00000000 0x0 0x0
0xffffab8000017e80 0041 00000000 0x0 0x0
0xffffab8000017f00 0041 00000000 0x0 0x0
0xffffab8000017f80 0041 00000000 0x0 0x0
0xffffab8000018000 0041 00000000 0x0 0x0
0xffffab8000018080 0041 00000000 0x0 0x0
0xffffab8000018100 0041 00000000 0x0 0x0
0xffffab8000018180 0041 00000000 0x0 0x0
0xffffab8000018200 0041 00000000 0x0 0x0
0xffffab8000018280 0041 00000000 0x0 0x0
0xffffab8000018300 0041 00000000 0x0 0x0
0xffffab8000018380 0041 00000000 0x0 0x0
0xffffab8000018400 0041 00000000 0x0 0x0
0xffffab8000018480 0041 00000000 0x0 0x0
0xffffab8000018500 0041 00000000 0x0 0x0
0xffffab8000018580 0041 00000000 0x0 0x0
0xffffab8000018600 0041 00000000 0x0 0x0
0xffffab8000018680 0041 00000000 0x0 0x0
0xffffab8000018700 0041 00000000 0x0 0x0
0xffffab8000018780 0041 00000000 0x0 0x0
0xffffab8000018800 0041 00000000 0x0 0x0
0xffffab8000018880 0041 00000000 0x0 0x0
0xffffab8000018900 0041 00000000 0x0 0x0
0xffffab8000018980 0041 00000000 0x0 0x0
0xffffab8000018a00 0041 00000000 0x0 0x0
0xffffab8000018a80 0041 00000000 0x0 0x0
0xffffab8000018b00 0041 00000000 0x0 0x0
0xffffab8000018b80 0041 00000000 0x0 0x0
0xffffab8000018c00 0041 00000000 0x0 0x0
0xffffab8000018c80 0041 00000000 0x0 0x0
0xffffab8000018d00 0041 00000000 0x0 0x0
0xffffab8000018d80 0041 00000000 0x0 0x0
0xffffab8000018e00 0041 00000000 0x0 0x0
0xffffab8000018e80 0041 00000000 0x0 0x0
0xffffab8000018f00 0041 00000000 0x0 0x0
0xffffab8000018f80 0041 00000000 0x0 0x0
0xffffab8000019000 0041 00000000 0x0 0x0
0xffffab8000019080 0041 00000000 0x0 0x0
0xffffab8000019100 0041 00000000 0x0 0x0
0xffffab8000019180 0041 00000000 0x0 0x0
0xffffab8000019200 0041 00000000 0x0 0x0
0xffffab8000019280 0041 00000000 0x0 0x0
0xffffab8000019300 0041 00000000 0x0 0x0
0xffffab8000019380 0041 00000000 0x0 0x0
0xffffab8000019400 0041 00000000 0x0 0x0
0xffffab8000019480 0041 00000000 0x0 0x0
0xffffab8000019500 0041 00000000 0x0 0x0
0xffffab8000019580 0041 00000000 0x0 0x0
0xffffab8000019600 0041 00000000 0x0 0x0
0xffffab8000019680 0041 00000000 0x0 0x0
0xffffab8000019700 0041 00000000 0x0 0x0
0xffffab8000019780 0041 00000000 0x0 0x0
0xffffab8000019800 0041 00000000 0x0 0x0
0xffffab8000019880 0041 00000000 0x0 0x0
0xffffab8000019900 0041 00000000 0x0 0x0
0xffffab8000019980 0041 00000000 0x0 0x0
0xffffab8000019a00 0041 00000000 0x0 0x0
0xffffab8000019a80 0041 00000000 0x0 0x0
0xffffab8000019b00 0041 00000000 0x0 0x0
0xffffab8000019b80 0041 00000000 0x0 0x0
0xffffab8000019c00 0041 00000000 0x0 0x0
0xffffab8000019c80 0041 00000000 0x0 0x0
0xffffab8000019d00 0041 00000000 0x0 0x0
0xffffab8000019d80 0041 00000000 0x0 0x0
0xffffab8000019e00 0041 00000000 0x0 0x0
0xffffab8000019e80 0041 00000000 0x0 0x0
0xffffab8000019f00 0041 00000000 0x0 0x0
0xffffab8000019f80 0041 00000000 0x0 0x0
0xffffab800001a000 0041 00000000 0x0 0x0
0xffffab800001a080 0041 00000000 0x0 0x0
0xffffab800001a100 0041 00000000 0x0 0x0
0xffffab800001a180 0041 00000000 0x0 0x0
0xffffab800001a200 0041 00000000 0x0 0x0
0xffffab800001a280 0041 00000000 0x0 0x0
0xffffab800001a300 0041 00000000 0x0 0x0
0xffffab800001a380 0041 00000000 0x0 0x0
0xffffab800001a400 0041 00000000 0x0 0x0
0xffffab800001a480 0041 00000000 0x0 0x0
0xffffab800001a500 0041 00000000 0x0 0x0
0xffffab800001a580 0041 00000000 0x0 0x0
0xffffab800001a600 0041 00000000 0x0 0x0
0xffffab800001a680 0041 00000000 0x0 0x0
0xffffab800001a700 0041 00000000 0x0 0x0
0xffffab800001a780 0041 00000000 0x0 0x0
0xffffab800001a800 0041 00000000 0x0 0x0
0xffffab800001a880 0041 00000000 0x0 0x0
0xffffab800001a900 0041 00000000 0x0 0x0
0xffffab800001a980 0041 00000000 0x0 0x0
0xffffab800001aa00 0041 00000000 0x0 0x0
0xffffab800001aa80 0041 00000000 0x0 0x0
0xffffab800001ab00 0041 00000000 0x0 0x0
0xffffab800001ab80 0041 00000000 0x0 0x0
0xffffab800001ac00 0041 00000000 0x0 0x0
0xffffab800001ac80 0041 00000000 0x0 0x0
0xffffab800001ad00 0041 00000000 0x0 0x0
0xffffab800001ad80 0041 00000000 0x0 0x0
0xffffab800001ae00 0041 00000000 0x0 0x0
0xffffab800001ae80 0041 00000000 0x0 0x0
0xffffab800001af00 0041 00000000 0x0 0x0
0xffffab800001af80 0041 00000000 0x0 0x0
0xffffab800001b000 0041 00000000 0x0 0x0
0xffffab800001b080 0041 00000000 0x0 0x0
0xffffab800001b100 0041 00000000 0x0 0x0
0xffffab800001b180 0041 00000000 0x0 0x0
0xffffab800001b200 0041 00000000 0x0 0x0
0xffffab800001b280 0041 00000000 0x0 0x0
0xffffab800001b300 0041 00000000 0x0 0x0
0xffffab800001b380 0041 00000000 0x0 0x0
0xffffab800001b400 0041 00000000 0x0 0x0
0xffffab800001b480 0041 00000000 0x0 0x0
0xffffab800001b500 0041 00000000 0x0 0x0
0xffffab800001b580 0041 00000000 0x0 0x0
0xffffab800001b600 0041 00000000 0x0 0x0
0xffffab800001b680 0041 00000000 0x0 0x0
0xffffab800001b700 0041 00000000 0x0 0x0
0xffffab800001b780 0041 00000000 0x0 0x0
0xffffab800001b800 0041 00000000 0x0 0x0
0xffffab800001b880 0041 00000000 0x0 0x0
0xffffab800001b900 0041 00000000 0x0 0x0
0xffffab800001b980 0041 00000000 0x0 0x0
0xffffab800001ba00 0041 00000000 0x0 0x0
0xffffab800001ba80 0001 00000000 0x0 0x0
0xffffab800001bb00 0001 00000000 0x0 0x0
0xffffab800001bb80 0001 00000000 0x0 0x0
0xffffab800001bc00 0001 00000000 0x0 0x0
0xffffab800001bc80 0001 00000000 0x0 0x0
0xffffab800001bd00 0001 00000000 0x0 0x0
0xffffab800001bd80 0001 00000000 0x0 0x0
0xffffab800001be00 0001 00000000 0x0 0x0
0xffffab800001be80 0001 00000000 0x0 0x0
0xffffab800001bf00 0001 00000000 0x0 0x0
0xffffab800001bf80 0001 00000000 0x0 0x0
0xffffab800001c000 0001 00000000 0x0 0x0
0xffffab800001c080 0001 00000000 0x0 0x0
0xffffab800001c100 0001 00000000 0x0 0x0
0xffffab800001c180 0001 00000000 0x0 0x0
0xffffab800001c200 0001 00000000 0x0 0x0
0xffffab800001c280 0001 00000000 0x0 0x0
0xffffab800001c300 0001 00000000 0x0 0x0
0xffffab800001c380 0001 00000000 0x0 0x0
0xffffab800001c400 0001 00000000 0x0 0x0
0xffffab800001c480 0001 00000000 0x0 0x0
0xffffab800001c500 0001 00000000 0x0 0x0
0xffffab800001c580 0001 00000000 0x0 0x0
0xffffab800001c600 0001 00000000 0x0 0x0
0xffffab800001c680 0001 00000000 0x0 0x0
0xffffab800001c700 0001 00000000 0x0 0x0
0xffffab800001c780 0001 00000000 0x0 0x0
0xffffab800001c800 0001 00000000 0x0 0x0
0xffffab800001c880 0001 00000000 0x0 0x0
0xffffab800001c900 0001 00000000 0x0 0x0
0xffffab800001c980 0001 00000000 0x0 0x0
0xffffab800001ca00 0001 00000000 0x0 0x0
0xffffab800001ca80 0001 00000000 0x0 0x0
0xffffab800001cb00 0001 00000000 0x0 0x0
0xffffab800001cb80 0001 00000000 0x0 0x0
0xffffab800001cc00 0001 00000000 0x0 0x0
0xffffab800001cc80 0001 00000000 0x0 0x0
0xffffab800001cd00 0001 00000000 0x0 0x0
0xffffab800001cd80 0001 00000000 0x0 0x0
0xffffab800001ce00 0001 00000000 0x0 0x0
0xffffab800001ce80 0001 00000000 0x0 0x0
0xffffab800001cf00 0001 00000000 0x0 0x0
0xffffab800001cf80 0001 00000000 0x0 0x0
0xffffab800001d000 0001 00000000 0x0 0x0
0xffffab800001d080 0001 00000000 0x0 0x0
0xffffab800001d100 0001 00000000 0x0 0x0
0xffffab800001d180 0001 00000000 0x0 0x0
0xffffab800001d200 0001 00000000 0x0 0x0
0xffffab800001d280 0001 00000000 0x0 0x0
0xffffab800001d300 0001 00000000 0x0 0x0
0xffffab800001d380 0001 00000000 0x0 0x0
0xffffab800001d400 0001 00000000 0x0 0x0
0xffffab800001d480 0001 00000000 0x0 0x0
0xffffab800001d500 0001 00000000 0x0 0x0
0xffffab800001d580 0001 00000000 0x0 0x0
0xffffab800001d600 0001 00000000 0x0 0x0
0xffffab800001d680 0001 00000000 0x0 0x0
0xffffab800001d700 0001 00000000 0x0 0x0
0xffffab800001d780 0001 00000000 0x0 0x0
0xffffab800001d800 0001 00000000 0x0 0x0
0xffffab800001d880 0001 00000000 0x0 0x0
0xffffab800001d900 0001 00000000 0x0 0x0
0xffffab800001d980 0001 00000000 0x0 0x0
0xffffab800001da00 0001 00000000 0x0 0x0
0xffffab800001da80 0001 00000000 0x0 0x0
0xffffab800001db00 0001 00000000 0x0 0x0
0xffffab800001db80 0001 00000000 0x0 0x0
0xffffab800001dc00 0001 00000000 0x0 0x0
0xffffab800001dc80 0001 00000000 0x0 0x0
0xffffab800001dd00 0001 00000000 0x0 0x0
0xffffab800001dd80 0001 00000000 0x0 0x0
0xffffab800001de00 0001 00000000 0x0 0x0
0xffffab800001de80 0001 00000000 0x0 0x0
0xffffab800001df00 0001 00000000 0x0 0x0
0xffffab800001df80 0001 00000000 0x0 0x0
0xffffab800001e000 0001 00000000 0x0 0x0
0xffffab800001e080 0001 00000000 0x0 0x0
0xffffab800001e100 0001 00000000 0x0 0x0
0xffffab800001e180 0001 00000000 0x0 0x0
0xffffab800001e200 0001 00000000 0x0 0x0
0xffffab800001e280 0001 00000000 0x0 0x0
0xffffab800001e300 0001 00000000 0x0 0x0
0xffffab800001e380 0001 00000000 0x0 0x0
0xffffab800001e400 0001 00000000 0x0 0x0
0xffffab800001e480 0001 00000000 0x0 0x0
0xffffab800001e500 0001 00000000 0x0 0x0
0xffffab800001e580 0001 00000000 0x0 0x0
0xffffab800001e600 0001 00000000 0x0 0x0
0xffffab800001e680 0001 00000000 0x0 0x0
0xffffab800001e700 0001 00000000 0x0 0x0
0xffffab800001e780 0001 00000000 0x0 0x0
0xffffab800001e800 0001 00000000 0x0 0x0
0xffffab800001e880 0001 00000000 0x0 0x0
0xffffab800001e900 0001 00000000 0x0 0x0
0xffffab800001e980 0001 00000000 0x0 0x0
0xffffab800001ea00 0001 00000000 0x0 0x0
0xffffab800001ea80 0001 00000000 0x0 0x0
0xffffab800001eb00 0001 00000000 0x0 0x0
0xffffab800001eb80 0001 00000000 0x0 0x0
0xffffab800001ec00 0001 00000000 0x0 0x0
0xffffab800001ec80 0001 00000000 0x0 0x0
0xffffab800001ed00 0001 00000000 0x0 0x0
0xffffab800001ed80 0001 00000000 0x0 0x0
0xffffab800001ee00 0001 00000000 0x0 0x0
0xffffab800001ee80 0001 00000000 0x0 0x0
0xffffab800001ef00 0001 00000000 0x0 0x0
0xffffab800001ef80 0001 00000000 0x0 0x0
0xffffab800001f000 0001 00000000 0x0 0x0
0xffffab800001f080 0001 00000000 0x0 0x0
0xffffab800001f100 0001 00000000 0x0 0x0
0xffffab800001f180 0001 00000000 0x0 0x0
0xffffab800001f200 0001 00000000 0x0 0x0
0xffffab800001f280 0001 00000000 0x0 0x0
0xffffab800001f300 0001 00000000 0x0 0x0
0xffffab800001f380 0001 00000000 0x0 0x0
0xffffab800001f400 0001 00000000 0x0 0x0
0xffffab800001f480 0001 00000000 0x0 0x0
0xffffab800001f500 0001 00000000 0x0 0x0
0xffffab800001f580 0001 00000000 0x0 0x0
0xffffab800001f600 0001 00000000 0x0 0x0
0xffffab800001f680 0001 00000000 0x0 0x0
0xffffab800001f700 0001 00000000 0x0 0x0
0xffffab800001f780 0001 00000000 0x0 0x0
0xffffab800001f800 0001 00000000 0x0 0x0
0xffffab800001f880 0001 00000000 0x0 0x0
0xffffab800001f900 0001 00000000 0x0 0x0
0xffffab800001f980 0001 00000000 0x0 0x0
0xffffab800001fa00 0001 00000000 0x0 0x0
0xffffab800001fa80 0001 00000000 0x0 0x0
0xffffab800001fb00 0001 00000000 0x0 0x0
0xffffab800001fb80 0001 00000000 0x0 0x0
0xffffab800001fc00 0001 00000000 0x0 0x0
0xffffab800001fc80 0001 00000000 0x0 0x0
0xffffab800001fd00 0001 00000000 0x0 0x0
0xffffab800001fd80 0001 00000000 0x0 0x0
0xffffab800001fe00 0001 00000000 0x0 0x0
0xffffab800001fe80 0001 00000000 0x0 0x0
0xffffab800001ff00 0001 00000000 0x0 0x0
0xffffab800001ff80 0001 00000000 0x0 0x0
0xffffab8000020000 0001 00000000 0x0 0x0
0xffffab8000020080 0001 00000000 0x0 0x0
0xffffab8000020100 0001 00000000 0x0 0x0
0xffffab8000020180 0001 00000000 0x0 0x0
0xffffab8000020200 0001 00000000 0x0 0x0
0xffffab8000020280 0001 00000000 0x0 0x0
0xffffab8000020300 0001 00000000 0x0 0x0
0xffffab8000020380 0001 00000000 0x0 0x0
0xffffab8000020400 0001 00000000 0x0 0x0
0xffffab8000020480 0001 00000000 0x0 0x0
0xffffab8000020500 0001 00000000 0x0 0x0
0xffffab8000020580 0001 00000000 0x0 0x0
0xffffab8000020600 0001 00000000 0x0 0x0
0xffffab8000020680 0001 00000000 0x0 0x0
0xffffab8000020700 0001 00000000 0x0 0x0
0xffffab8000020780 0001 00000000 0x0 0x0
0xffffab8000020800 0001 00000000 0x0 0x0
0xffffab8000020880 0001 00000000 0x0 0x0
0xffffab8000020900 0001 00000000 0x0 0x0
0xffffab8000020980 0001 00000000 0x0 0x0
0xffffab8000020a00 0001 00000000 0x0 0x0
0xffffab8000020a80 0001 00000000 0x0 0x0
0xffffab8000020b00 0001 00000000 0x0 0x0
0xffffab8000020b80 0001 00000000 0x0 0x0
0xffffab8000020c00 0001 00000000 0x0 0x0
0xffffab8000020c80 0001 00000000 0x0 0x0
0xffffab8000020d00 0001 00000000 0x0 0x0
0xffffab8000020d80 0001 00000000 0x0 0x0
0xffffab8000020e00 0001 00000000 0x0 0x0
0xffffab8000020e80 0001 00000000 0x0 0x0
0xffffab8000020f00 0001 00000000 0x0 0x0
0xffffab8000020f80 0001 00000000 0x0 0x0
0xffffab8000021000 0001 00000000 0x0 0x0
0xffffab8000021080 0001 00000000 0x0 0x0
0xffffab8000021100 0001 00000000 0x0 0x0
0xffffab8000021180 0001 00000000 0x0 0x0
0xffffab8000021200 0001 00000000 0x0 0x0
0xffffab8000021280 0001 00000000 0x0 0x0
0xffffab8000021300 0001 00000000 0x0 0x0
0xffffab8000021380 0001 00000000 0x0 0x0
0xffffab8000021400 0001 00000000 0x0 0x0
0xffffab8000021480 0001 00000000 0x0 0x0
0xffffab8000021500 0001 00000000 0x0 0x0
0xffffab8000021580 0001 00000000 0x0 0x0
0xffffab8000021600 0001 00000000 0x0 0x0
0xffffab8000021680 0001 00000000 0x0 0x0
0xffffab8000021700 0001 00000000 0x0 0x0
0xffffab8000021780 0001 00000000 0x0 0x0
0xffffab8000021800 0001 00000000 0x0 0x0
0xffffab8000021880 0001 00000000 0x0 0x0
0xffffab8000021900 0001 00000000 0x0 0x0
0xffffab8000021980 0001 00000000 0x0 0x0
0xffffab8000021a00 0001 00000000 0x0 0x0
0xffffab8000021a80 0001 00000000 0x0 0x0
0xffffab8000021b00 0001 00000000 0x0 0x0
0xffffab8000021b80 0001 00000000 0x0 0x0
0xffffab8000021c00 0001 00000000 0x0 0x0
0xffffab8000021c80 0001 00000000 0x0 0x0
0xffffab8000021d00 0001 00000000 0x0 0x0
0xffffab8000021d80 0001 00000000 0x0 0x0
0xffffab8000021e00 0001 00000000 0x0 0x0
0xffffab8000021e80 0001 00000000 0x0 0x0
0xffffab8000021f00 0001 00000000 0x0 0x0
0xffffab8000021f80 0001 00000000 0x0 0x0
0xffffab8000022000 0001 00000000 0x0 0x0
0xffffab8000022080 0001 00000000 0x0 0x0
0xffffab8000022100 0001 00000000 0x0 0x0
0xffffab8000022180 0001 00000000 0x0 0x0
0xffffab8000022200 0001 00000000 0x0 0x0
0xffffab8000022280 0001 00000000 0x0 0x0
0xffffab8000022300 0001 00000000 0x0 0x0
0xffffab8000022380 0001 00000000 0x0 0x0
0xffffab8000022400 0001 00000000 0x0 0x0
0xffffab8000022480 0001 00000000 0x0 0x0
0xffffab8000022500 0001 00000000 0x0 0x0
0xffffab8000022580 0001 00000000 0x0 0x0
0xffffab8000022600 0001 00000000 0x0 0x0
0xffffab8000022680 0001 00000000 0x0 0x0
0xffffab8000022700 0001 00000000 0x0 0x0
0xffffab8000022780 0001 00000000 0x0 0x0
0xffffab8000022800 0001 00000000 0x0 0x0
0xffffab8000022880 0001 00000000 0x0 0x0
0xffffab8000022900 0001 00000000 0x0 0x0
0xffffab8000022980 0001 00000000 0x0 0x0
0xffffab8000022a00 0001 00000000 0x0 0x0
0xffffab8000022a80 0001 00000000 0x0 0x0
0xffffab8000022b00 0001 00000000 0x0 0x0
0xffffab8000022b80 0001 00000000 0x0 0x0
0xffffab8000022c00 0001 00000000 0x0 0x0
0xffffab8000022c80 0001 00000000 0x0 0x0
0xffffab8000022d00 0001 00000000 0x0 0x0
0xffffab8000022d80 0001 00000000 0x0 0x0
0xffffab8000022e00 0001 00000000 0x0 0x0
0xffffab8000022e80 0001 00000000 0x0 0x0
0xffffab8000022f00 0001 00000000 0x0 0x0
0xffffab8000022f80 0001 00000000 0x0 0x0
0xffffab8000023000 0001 00000000 0x0 0x0
0xffffab8000023080 0001 00000000 0x0 0x0
0xffffab8000023100 0001 00000000 0x0 0x0
0xffffab8000023180 0001 00000000 0x0 0x0
0xffffab8000023200 0001 00000000 0x0 0x0
0xffffab8000023280 0001 00000000 0x0 0x0
0xffffab8000023300 0001 00000000 0x0 0x0
0xffffab8000023380 0001 00000000 0x0 0x0
0xffffab8000023400 0001 00000000 0x0 0x0
0xffffab8000023480 0001 00000000 0x0 0x0
0xffffab8000023500 0001 00000000 0x0 0x0
0xffffab8000023580 0001 00000000 0x0 0x0
0xffffab8000023600 0001 00000000 0x0 0x0
0xffffab8000023680 0001 00000000 0x0 0x0
0xffffab8000023700 0001 00000000 0x0 0x0
0xffffab8000023780 0001 00000000 0x0 0x0
0xffffab8000023800 0001 00000000 0x0 0x0
0xffffab8000023880 0001 00000000 0x0 0x0
0xffffab8000023900 0001 00000000 0x0 0x0
0xffffab8000023980 0001 00000000 0x0 0x0
0xffffab8000023a00 0001 00000000 0x0 0x0
0xffffab8000023a80 0001 00000000 0x0 0x0
0xffffab8000023b00 0001 00000000 0x0 0x0
0xffffab8000023b80 0001 00000000 0x0 0x0
0xffffab8000023c00 0001 00000000 0x0 0x0
0xffffab8000023c80 0001 00000000 0x0 0x0
0xffffab8000023d00 0001 00000000 0x0 0x0
0xffffab8000023d80 0001 00000000 0x0 0x0
0xffffab8000023e00 0001 00000000 0x0 0x0
0xffffab8000023e80 0001 00000000 0x0 0x0
0xffffab8000023f00 0001 00000000 0x0 0x0
0xffffab8000023f80 0001 00000000 0x0 0x0
0xffffab8000024000 0001 00000000 0x0 0x0
0xffffab8000024080 0001 00000000 0x0 0x0
0xffffab8000024100 0001 00000000 0x0 0x0
0xffffab8000024180 0001 00000000 0x0 0x0
0xffffab8000024200 0001 00000000 0x0 0x0
0xffffab8000024280 0001 00000000 0x0 0x0
0xffffab8000024300 0001 00000000 0x0 0x0
0xffffab8000024380 0001 00000000 0x0 0x0
0xffffab8000024400 0001 00000000 0x0 0x0
0xffffab8000024480 0001 00000000 0x0 0x0
0xffffab8000024500 0001 00000000 0x0 0x0
0xffffab8000024580 0001 00000000 0x0 0x0
0xffffab8000024600 0001 00000000 0x0 0x0
0xffffab8000024680 0001 00000000 0x0 0x0
0xffffab8000024700 0001 00000000 0x0 0x0
0xffffab8000024780 0001 00000000 0x0 0x0
0xffffab8000024800 0001 00000000 0x0 0x0
0xffffab8000024880 0001 00000000 0x0 0x0
0xffffab8000024900 0001 00000000 0x0 0x0
0xffffab8000024980 0001 00000000 0x0 0x0
0xffffab8000024a00 0001 00000000 0x0 0x0
0xffffab8000024a80 0001 00000000 0x0 0x0
0xffffab8000024b00 0001 00000000 0x0 0x0
0xffffab8000024b80 0001 00000000 0x0 0x0
0xffffab8000024c00 0001 00000000 0x0 0x0
0xffffab8000024c80 0001 00000000 0x0 0x0
0xffffab8000024d00 0001 00000000 0x0 0x0
0xffffab8000024d80 0001 00000000 0x0 0x0
0xffffab8000024e00 0001 00000000 0x0 0x0
0xffffab8000024e80 0001 00000000 0x0 0x0
0xffffab8000024f00 0001 00000000 0x0 0x0
0xffffab8000024f80 0001 00000000 0x0 0x0
0xffffab8000025000 0001 00000000 0x0 0x0
0xffffab8000025080 0001 00000000 0x0 0x0
0xffffab8000025100 0001 00000000 0x0 0x0
0xffffab8000025180 0001 00000000 0x0 0x0
0xffffab8000025200 0001 00000000 0x0 0x0
0xffffab8000025280 0001 00000000 0x0 0x0
0xffffab8000025300 0001 00000000 0x0 0x0
0xffffab8000025380 0001 00000000 0x0 0x0
0xffffab8000025400 0001 00000000 0x0 0x0
0xffffab8000025480 0001 00000000 0x0 0x0
0xffffab8000025500 0001 00000000 0x0 0x0
0xffffab8000025580 0001 00000000 0x0 0x0
0xffffab8000025600 0001 00000000 0x0 0x0
0xffffab8000025680 0001 00000000 0x0 0x0
0xffffab8000025700 0001 00000000 0x0 0x0
0xffffab8000025780 0001 00000000 0x0 0x0
0xffffab8000025800 0001 00000000 0x0 0x0
0xffffab8000025880 0001 00000000 0x0 0x0
0xffffab8000025900 0001 00000000 0x0 0x0
0xffffab8000025980 0001 00000000 0x0 0x0
0xffffab8000025a00 0001 00000000 0x0 0x0
0xffffab8000025a80 0001 00000000 0x0 0x0
0xffffab8000025b00 0001 00000000 0x0 0x0
0xffffab8000025b80 0001 00000000 0x0 0x0
0xffffab8000025c00 0001 00000000 0x0 0x0
0xffffab8000025c80 0001 00000000 0x0 0x0
0xffffab8000025d00 0001 00000000 0x0 0x0
0xffffab8000025d80 0001 00000000 0x0 0x0
0xffffab8000025e00 0001 00000000 0x0 0x0
0xffffab8000025e80 0001 00000000 0x0 0x0
0xffffab8000025f00 0001 00000000 0x0 0x0
0xffffab8000025f80 0001 00000000 0x0 0x0
0xffffab8000026000 0001 00000000 0x0 0x0
0xffffab8000026080 0001 00000000 0x0 0x0
0xffffab8000026100 0001 00000000 0x0 0x0
0xffffab8000026180 0001 00000000 0x0 0x0
0xffffab8000026200 0001 00000000 0x0 0x0
0xffffab8000026280 0001 00000000 0x0 0x0
0xffffab8000026300 0001 00000000 0x0 0x0
0xffffab8000026380 0001 00000000 0x0 0x0
0xffffab8000026400 0001 00000000 0x0 0x0
0xffffab8000026480 0001 00000000 0x0 0x0
0xffffab8000026500 0001 00000000 0x0 0x0
0xffffab8000026580 0001 00000000 0x0 0x0
0xffffab8000026600 0001 00000000 0x0 0x0
0xffffab8000026680 0001 00000000 0x0 0x0
0xffffab8000026700 0001 00000000 0x0 0x0
0xffffab8000026780 0001 00000000 0x0 0x0
0xffffab8000026800 0001 00000000 0x0 0x0
0xffffab8000026880 0001 00000000 0x0 0x0
0xffffab8000026900 0001 00000000 0x0 0x0
0xffffab8000026980 0001 00000000 0x0 0x0
0xffffab8000026a00 0001 00000000 0x0 0x0
0xffffab8000026a80 0001 00000000 0x0 0x0
0xffffab8000026b00 0001 00000000 0x0 0x0
0xffffab8000026b80 0001 00000000 0x0 0x0
0xffffab8000026c00 0001 00000000 0x0 0x0
0xffffab8000026c80 0001 00000000 0x0 0x0
0xffffab8000026d00 0001 00000000 0x0 0x0
0xffffab8000026d80 0001 00000000 0x0 0x0
0xffffab8000026e00 0001 00000000 0x0 0x0
0xffffab8000026e80 0001 00000000 0x0 0x0
0xffffab8000026f00 0001 00000000 0x0 0x0
0xffffab8000026f80 0001 00000000 0x0 0x0
0xffffab8000027000 0001 00000000 0x0 0x0
0xffffab8000027080 0001 00000000 0x0 0x0
0xffffab8000027100 0001 00000000 0x0 0x0
0xffffab8000027180 0001 00000000 0x0 0x0
0xffffab8000027200 0001 00000000 0x0 0x0
0xffffab8000027280 0001 00000000 0x0 0x0
0xffffab8000027300 0001 00000000 0x0 0x0
0xffffab8000027380 0001 00000000 0x0 0x0
0xffffab8000027400 0001 00000000 0x0 0x0
0xffffab8000027480 0001 00000000 0x0 0x0
0xffffab8000027500 0001 00000000 0x0 0x0
0xffffab8000027580 0001 00000000 0x0 0x0
0xffffab8000027600 0001 00000000 0x0 0x0
0xffffab8000027680 0001 00000000 0x0 0x0
0xffffab8000027700 0001 00000000 0x0 0x0
0xffffab8000027780 0001 00000000 0x0 0x0
0xffffab8000027800 0001 00000000 0x0 0x0
0xffffab8000027880 0001 00000000 0x0 0x0
0xffffab8000027900 0001 00000000 0x0 0x0
0xffffab8000027980 0001 00000000 0x0 0x0
0xffffab8000027a00 0001 00000000 0x0 0x0
0xffffab8000027a80 0001 00000000 0x0 0x0
0xffffab8000027b00 0001 00000000 0x0 0x0
0xffffab8000027b80 0001 00000000 0x0 0x0
0xffffab8000027c00 0001 00000000 0x0 0x0
0xffffab8000027c80 0001 00000000 0x0 0x0
0xffffab8000027d00 0001 00000000 0x0 0x0
0xffffab8000027d80 0001 00000000 0x0 0x0
0xffffab8000027e00 0001 00000000 0x0 0x0
0xffffab8000027e80 0001 00000000 0x0 0x0
0xffffab8000027f00 0001 00000000 0x0 0x0
0xffffab8000027f80 0001 00000000 0x0 0x0
0xffffab8000028000 0001 00000000 0x0 0x0
0xffffab8000028080 0001 00000000 0x0 0x0
0xffffab8000028100 0001 00000000 0x0 0x0
0xffffab8000028180 0001 00000000 0x0 0x0
0xffffab8000028200 0001 00000000 0x0 0x0
0xffffab8000028280 0001 00000000 0x0 0x0
0xffffab8000028300 0001 00000000 0x0 0x0
0xffffab8000028380 0001 00000000 0x0 0x0
0xffffab8000028400 0001 00000000 0x0 0x0
0xffffab8000028480 0001 00000000 0x0 0x0
0xffffab8000028500 0001 00000000 0x0 0x0
0xffffab8000028580 0001 00000000 0x0 0x0
0xffffab8000028600 0001 00000000 0x0 0x0
0xffffab8000028680 0001 00000000 0x0 0x0
0xffffab8000028700 0001 00000000 0x0 0x0
0xffffab8000028780 0001 00000000 0x0 0x0
0xffffab8000028800 0001 00000000 0x0 0x0
0xffffab8000028880 0001 00000000 0x0 0x0
0xffffab8000028900 0001 00000000 0x0 0x0
0xffffab8000028980 0001 00000000 0x0 0x0
0xffffab8000028a00 0001 00000000 0x0 0x0
0xffffab8000028a80 0001 00000000 0x0 0x0
0xffffab8000028b00 0001 00000000 0x0 0x0
0xffffab8000028b80 0001 00000000 0x0 0x0
0xffffab8000028c00 0001 00000000 0x0 0x0
0xffffab8000028c80 0001 00000000 0x0 0x0
0xffffab8000028d00 0001 00000000 0x0 0x0
0xffffab8000028d80 0001 00000000 0x0 0x0
0xffffab8000028e00 0001 00000000 0x0 0x0
0xffffab8000028e80 0001 00000000 0x0 0x0
0xffffab8000028f00 0001 00000000 0x0 0x0
0xffffab8000028f80 0001 00000000 0x0 0x0
0xffffab8000029000 0001 00000000 0x0 0x0
0xffffab8000029080 0001 00000000 0x0 0x0
0xffffab8000029100 0001 00000000 0x0 0x0
0xffffab8000029180 0001 00000000 0x0 0x0
0xffffab8000029200 0001 00000000 0x0 0x0
0xffffab8000029280 0001 00000000 0x0 0x0
0xffffab8000029300 0001 00000000 0x0 0x0
0xffffab8000029380 0001 00000000 0x0 0x0
0xffffab8000029400 0001 00000000 0x0 0x0
0xffffab8000029480 0001 00000000 0x0 0x0
0xffffab8000029500 0001 00000000 0x0 0x0
0xffffab8000029580 0001 00000000 0x0 0x0
0xffffab8000029600 0001 00000000 0x0 0x0
0xffffab8000029680 0001 00000000 0x0 0x0
0xffffab8000029700 0001 00000000 0x0 0x0
0xffffab8000029780 0001 00000000 0x0 0x0
0xffffab8000029800 0001 00000000 0x0 0x0
0xffffab8000029880 0001 00000000 0x0 0x0
0xffffab8000029900 0001 00000000 0x0 0x0
0xffffab8000029980 0001 00000000 0x0 0x0
0xffffab8000029a00 0001 00000000 0x0 0x0
0xffffab8000029a80 0001 00000000 0x0 0x0
0xffffab8000029b00 0001 00000000 0x0 0x0
0xffffab8000029b80 0001 00000000 0x0 0x0
0xffffab8000029c00 0001 00000000 0x0 0x0
0xffffab8000029c80 0001 00000000 0x0 0x0
0xffffab8000029d00 0001 00000000 0x0 0x0
0xffffab8000029d80 0001 00000000 0x0 0x0
0xffffab8000029e00 0001 00000000 0x0 0x0
0xffffab8000029e80 0001 00000000 0x0 0x0
0xffffab8000029f00 0001 00000000 0x0 0x0
0xffffab8000029f80 0001 00000000 0x0 0x0
0xffffab800002a000 0001 00000000 0x0 0x0
0xffffab800002a080 0001 00000000 0x0 0x0
0xffffab800002a100 0001 00000000 0x0 0x0
0xffffab800002a180 0001 00000000 0x0 0x0
0xffffab800002a200 0001 00000000 0x0 0x0
0xffffab800002a280 0001 00000000 0x0 0x0
0xffffab800002a300 0001 00000000 0x0 0x0
0xffffab800002a380 0001 00000000 0x0 0x0
0xffffab800002a400 0001 00000000 0x0 0x0
0xffffab800002a480 0001 00000000 0x0 0x0
0xffffab800002a500 0001 00000000 0x0 0x0
0xffffab800002a580 0001 00000000 0x0 0x0
0xffffab800002a600 0001 00000000 0x0 0x0
0xffffab800002a680 0001 00000000 0x0 0x0
0xffffab800002a700 0001 00000000 0x0 0x0
0xffffab800002a780 0001 00000000 0x0 0x0
0xffffab800002a800 0001 00000000 0x0 0x0
0xffffab800002a880 0001 00000000 0x0 0x0
0xffffab800002a900 0001 00000000 0x0 0x0
0xffffab800002a980 0001 00000000 0x0 0x0
0xffffab800002aa00 0001 00000000 0x0 0x0
0xffffab800002aa80 0001 00000000 0x0 0x0
0xffffab800002ab00 0001 00000000 0x0 0x0
0xffffab800002ab80 0001 00000000 0x0 0x0
0xffffab800002ac00 0001 00000000 0x0 0x0
0xffffab800002ac80 0001 00000000 0x0 0x0
0xffffab800002ad00 0001 00000000 0x0 0x0
0xffffab800002ad80 0001 00000000 0x0 0x0
0xffffab800002ae00 0001 00000000 0x0 0x0
0xffffab800002ae80 0001 00000000 0x0 0x0
0xffffab800002af00 0001 00000000 0x0 0x0
0xffffab800002af80 0001 00000000 0x0 0x0
0xffffab800002b000 0001 00000000 0x0 0x0
0xffffab800002b080 0001 00000000 0x0 0x0
0xffffab800002b100 0001 00000000 0x0 0x0
0xffffab800002b180 0001 00000000 0x0 0x0
0xffffab800002b200 0001 00000000 0x0 0x0
0xffffab800002b280 0001 00000000 0x0 0x0
0xffffab800002b300 0001 00000000 0x0 0x0
0xffffab800002b380 0001 00000000 0x0 0x0
0xffffab800002b400 0001 00000000 0x0 0x0
0xffffab800002b480 0001 00000000 0x0 0x0
0xffffab800002b500 0001 00000000 0x0 0x0
0xffffab800002b580 0001 00000000 0x0 0x0
0xffffab800002b600 0001 00000000 0x0 0x0
0xffffab800002b680 0001 00000000 0x0 0x0
0xffffab800002b700 0001 00000000 0x0 0x0
0xffffab800002b780 0001 00000000 0x0 0x0
0xffffab800002b800 0001 00000000 0x0 0x0
0xffffab800002b880 0001 00000000 0x0 0x0
0xffffab800002b900 0001 00000000 0x0 0x0
0xffffab800002b980 0001 00000000 0x0 0x0
0xffffab800002ba00 0001 00000000 0x0 0x0
0xffffab800002ba80 0001 00000000 0x0 0x0
0xffffab800002bb00 0001 00000000 0x0 0x0
0xffffab800002bb80 0001 00000000 0x0 0x0
0xffffab800002bc00 0001 00000000 0x0 0x0
0xffffab800002bc80 0001 00000000 0x0 0x0
0xffffab800002bd00 0001 00000000 0x0 0x0
0xffffab800002bd80 0001 00000000 0x0 0x0
0xffffab800002be00 0001 00000000 0x0 0x0
0xffffab800002be80 0001 00000000

---
This report is generated by a bot. It may contain errors.
See https://goo.gl/tpsmEJ for more information about syzbot.
syzbot engineers can be reached at syzk...@googlegroups.com.

syzbot will keep track of this issue. See:
https://goo.gl/tpsmEJ#status for how to communicate with syzbot.

syzbot

unread,
Aug 13, 2022, 4:36:23 PM8/13/22
to syzkaller-...@googlegroups.com
syzbot has found a reproducer for the following issue on:

HEAD commit: 030a646f9a17 Fix an inverted KASSERTMSG test from the #ifd..
git tree: netbsd
console output: https://syzkaller.appspot.com/x/log.txt?x=17385a2d080000
kernel config: https://syzkaller.appspot.com/x/.config?x=fab579639ba4bf0a
dashboard link: https://syzkaller.appspot.com/bug?extid=c0d9e49f22e571650736
compiler: g++ (Debian 10.2.1-6) 10.2.1 20210110
syz repro: https://syzkaller.appspot.com/x/repro.syz?x=163df6a5080000
C reproducer: https://syzkaller.appspot.com/x/repro.c?x=1238d315080000

IMPORTANT: if you fix the issue, please add the following tag to the commit:
Reported-by: syzbot+c0d9e4...@syzkaller.appspotmail.com

[ 60.3457372] fatal page fault in supervisor mode
[ 60.3457372] trap type 6 code 0 rip 0xffffffff81b910db cs 0x8 rflags 0x10283 cr2 0xffff90000000003b ilevel 0 rsp 0xffffd982485c45d0
[ 60.3557357] curlwp 0xffffd98012b79540 pid 972.972 lowest kstack 0xffffd982485bd2c0
kernel: page fault trap, code=0
Stopped in pid 972.972 (syz-executor2348) at netbsd:__asan_load8+0x6c: movzbl 0(%rax),%r8d
?
__asan_load8() at netbsd:__asan_load8+0x6c kasan_shadow_1byte_isvalid sys/kern/subr_asan.c:310 [inline]
__asan_load8() at netbsd:__asan_load8+0x6c kasan_shadow_2byte_isvalid sys/kern/subr_asan.c:323 [inline]
__asan_load8() at netbsd:__asan_load8+0x6c kasan_shadow_4byte_isvalid sys/kern/subr_asan.c:343 [inline]
__asan_load8() at netbsd:__asan_load8+0x6c kasan_shadow_8byte_isvalid sys/kern/subr_asan.c:363 [inline]
__asan_load8() at netbsd:__asan_load8+0x6c kasan_shadow_check sys/kern/subr_asan.c:420 [inline]
__asan_load8() at netbsd:__asan_load8+0x6c sys/kern/subr_asan.c:1207
config_detach_commit() at netbsd:config_detach_commit+0x8e sys/kern/subr_autoconf.c:2185
cdev_detached() at netbsd:cdev_detached+0x155 sys/kern/subr_devsw.c:1383
vdevgone() at netbsd:vdevgone+0x1b8 sys/kern/vfs_subr.c:524
audiodetach() at netbsd:audiodetach+0xaa sys/dev/audio/audio.c:1370
config_detach() at netbsd:config_detach+0x38b sys/kern/subr_autoconf.c:2045
config_detach_children() at netbsd:config_detach_children+0xda sys/kern/subr_autoconf.c:2206
pad_detach() at netbsd:pad_detach+0x70 sys/dev/pad/pad.c:251
config_detach() at netbsd:config_detach+0x38b sys/kern/subr_autoconf.c:2045
fops_pad_close() at netbsd:fops_pad_close+0x86 pad_close sys/dev/pad/pad.c:423 [inline]
fops_pad_close() at netbsd:fops_pad_close+0x86 sys/dev/pad/pad.c:433
closef() at netbsd:closef+0x152 sys/kern/kern_descrip.c:832
fd_free() at netbsd:fd_free+0x528 sys/kern/kern_descrip.c:1571
exit1() at netbsd:exit1+0x306 sys/kern/kern_exit.c:301
sys_exit() at netbsd:sys_exit+0x92 sys/kern/kern_exit.c:180
syscall() at netbsd:syscall+0x25a sy_call sys/sys/syscallvar.h:65 [inline]
syscall() at netbsd:syscall+0x25a sy_invoke sys/sys/syscallvar.h:94 [inline]
syscall() at netbsd:syscall+0x25a sys/arch/x86/x86/syscall.c:138
--- syscall (number 1) ---
netbsd:syscall+0x25a:
Panic string: (null)
PID LID S CPU FLAGS STRUCT LWP * NAME WAIT
1234 1234 2 1 0 ffffd98012b1a940 syz-executor2348
1233 1233 2 0 0 ffffd98012bc65c0 syz-executor2348
1104 1104 3 0 0 ffffd98012b9a9c0 syz-executor2348 xclocv
1242 1242 2 1 0 ffffd98012b1a500 syz-executor2348
972 > 972 7 1 0 ffffd98012b79540 syz-executor2348
1237 >1237 7 0 0 ffffd98012b79980 syz-executor2348
422 422 2 0 140 ffffd98013ccda00 syz-executor2348
1224 1224 2 0 140 ffffd98013ccd5c0 syz-executor2348
1220 1220 2 1 140 ffffd98013ccd180 syz-executor2348
1194 1194 2 1 140 ffffd980133b6640 syz-executor2348
1222 1222 2 1 140 ffffd980133b6200 syz-executor2348
1221 1221 2 0 140 ffffd98013434b80 syz-executor2348
1111 1111 3 0 180 ffffd98012ab1900 syz-executor2348 nanoslp
1084 1084 2 1 100 ffffd98012ab1080 sshd
941 941 3 0 180 ffffd9801345e500 getty nanoslp
826 826 3 0 180 ffffd980126db040 getty nanoslp
1258 1258 3 0 180 ffffd9801348d9c0 getty nanoslp
1115 1115 3 0 1c0 ffffd9801348d140 getty ttyraw
926 926 3 1 180 ffffd980133aa1c0 sshd select
947 947 3 1 180 ffffd98012d186c0 powerd kqueue
689 689 2 0 100 ffffd980133d0ac0 syslogd
547 547 3 0 180 ffffd98012c10ac0 dhcpcd poll
546 546 3 1 180 ffffd98012c9b4c0 dhcpcd poll
600 600 3 0 180 ffffd98012c10680 dhcpcd poll
587 587 3 1 180 ffffd98012c66300 dhcpcd poll
289 289 3 0 180 ffffd98012da9080 dhcpcd poll
288 288 3 0 180 ffffd98012d928c0 dhcpcd poll
351 351 3 0 180 ffffd98012d92480 dhcpcd poll
1 1 3 1 180 ffffd9801285b9c0 init wait
0 820 3 0 200 ffffd98012977ac0 physiod physiod
0 194 3 0 200 ffffd9801298db00 pooldrain pooldrain
0 193 3 0 200 ffffd9801298d6c0 ioflush syncer
0 192 3 1 200 ffffd9801298d280 pgdaemon pgdaemon
0 169 3 1 200 ffffd98012977240 usb7 usbevt
0 167 3 1 200 ffffd9801292fa80 usb6 usbevt
0 165 3 1 200 ffffd9801292f640 usb5 usbevt
0 164 3 1 200 ffffd9801292f200 usb4 usbevt
0 31 3 1 200 ffffd980128e3a40 usb3 usbevt
0 63 3 0 200 ffffd980128e3600 usb2 usbevt
0 126 3 0 200 ffffd980128e31c0 usb1 usbevt
0 125 3 0 200 ffffd98012875a00 usb0 usbevt
0 124 3 0 200 ffffd980128755c0 usbtask-dr usbtsk
0 123 3 0 200 ffffd980120b86c0 usbtask-hc usbtsk
0 122 3 1 200 ffffd98012875180 npfgc0 npfgcw
0 121 3 0 200 ffffd9801285b580 rt_free rt_free
0 120 3 0 200 ffffd9801285b140 unpgc unpgc
0 119 3 0 200 ffffd9801270c980 key_timehandler key_timehandler
0 118 3 1 200 ffffd9801270c540 icmp6_wqinput/1 icmp6_wqinput
0 117 3 0 200 ffffd9801270c100 icmp6_wqinput/0 icmp6_wqinput
0 116 3 0 200 ffffd98012702940 nd6_timer nd6_timer
0 115 3 1 200 ffffd98012702500 carp6_wqinput/1 carp6_wqinput
0 114 3 0 200 ffffd980127020c0 carp6_wqinput/0 carp6_wqinput
0 113 3 1 200 ffffd980126f4900 carp_wqinput/1 carp_wqinput
0 112 3 0 200 ffffd980126f44c0 carp_wqinput/0 carp_wqinput
0 111 3 1 200 ffffd980126f4080 icmp_wqinput/1 icmp_wqinput
0 110 3 0 200 ffffd980126db8c0 icmp_wqinput/0 icmp_wqinput
0 109 3 0 200 ffffd980126db480 rt_timer rt_timer
0 108 3 1 200 ffffd980126dabc0 vmem_rehash vmem_rehash
0 99 3 1 200 ffffd980120bcb40 entbutler entropy
0 98 3 1 200 ffffd980120bc700 viomb balloon
0 97 3 1 200 ffffd980120bc2c0 vioif0_txrx/1 vioif0_txrx
0 96 3 0 200 ffffd980120b8b00 vioif0_txrx/0 vioif0_txrx
0 29 3 0 200 ffffd980120b8280 scsibus0 sccomp
0 28 3 0 200 ffffd98010cbcac0 pms0 pmsreset
0 27 2 1 200 ffffd98010cbc680 xcall/1
0 26 1 1 200 ffffd98010cbc240 softser/1
0 25 1 1 200 ffffd98010cbaa80 softclk/1
0 24 1 1 200 ffffd98010cba640 softbio/1
0 23 1 1 200 ffffd98010cba200 softnet/1
0 22 1 1 201 ffffd9800fb57a40 idle/1
0 21 3 0 200 ffffd9800fb57600 lnxsyswq lnxsyswq
0 20 3 0 200 ffffd9800fb571c0 lnxubdwq lnxubdwq
0 19 3 0 200 ffffd9800fb56a00 lnxpwrwq lnxpwrwq
0 18 3 0 200 ffffd9800fb565c0 lnxlngwq lnxlngwq
0 17 3 1 200 ffffd9800fb56180 lnxhipwq lnxhipwq
0 16 3 1 200 ffffd9800fb4f9c0 lnxrcugc lnxrcugc
0 15 3 0 200 ffffd9800fb4f580 sysmon smtaskq
0 14 3 0 200 ffffd9800fb4f140 pmfsuspend pmfsuspend
0 13 3 0 200 ffffd9800fb4a980 pmfevent pmfevent
0 12 3 0 200 ffffd9800fb4a540 sopendfree sopendfr
0 11 3 0 200 ffffd9800fb4a100 iflnkst iflnkst
0 10 3 0 200 ffffd9800fb3d940 nfssilly nfssilly
0 9 3 0 200 ffffd9800fb3d500 vdrain vdrain
0 8 3 1 200 ffffd9800fb3d0c0 modunload mod_unld
0 7 3 0 200 ffffd9800fb34900 xcall/0 xcall
0 6 1 0 200 ffffd9800fb344c0 softser/0
0 5 1 0 200 ffffd9800fb34080 softclk/0
0 4 1 0 200 ffffd9800fb328c0 softbio/0
0 3 1 0 200 ffffd9800fb32480 softnet/0
0 2 1 0 201 ffffd9800fb32040 idle/0
0 0 3 0 200 ffffffff83343980 swapper uvm
[Locks tracked through LWPs]

****** LWP 1233.1233 (syz-executor2348) @ 0xffffd98012bc65c0, l_stat=2

*** Locks held:

* Lock 0 (initialized at pool_init)
lock address : 0xffffd9800fb661b0 type : sleep/adaptive
initialized : 0xffffffff81bc8572
shared holds : 0 exclusive: 1
shares wanted: 0 exclusive: 0
relevant cpu : 0 last held: 0
relevant lwp : 0xffffd98012bc65c0 last held: 0xffffd98012bc65c0
last locked* : 0xffffffff81bcb3ad unlocked : 0xffffffff81bcb4c3
owner field : 0xffffd98012bc65c0 wait/spin: 0/0
Turnstile: no active turnstile for this lock.

*** Locks wanted: none

****** LWP 1104.1104 (syz-executor2348) @ 0xffffd98012b9a9c0, l_stat=3

*** Locks held:

* Lock 0 (initialized at fork1)
lock address : 0xffffd98012bb7850 type : sleep/adaptive
initialized : 0xffffffff81afe8ab
shared holds : 0 exclusive: 1
shares wanted: 0 exclusive: 0
relevant cpu : 0 last held: 1
relevant lwp : 0xffffd98012b9a9c0 last held: 0xffffd98012b9a9c0
last locked* : 0xffffffff81afab2b unlocked : 000000000000000000
owner/count : 0xffffd98012b9a9c0 flags : 0x0000000000000004
Turnstile: no active turnstile for this lock.

*** Locks wanted: none

****** LWP 1242.1242 (syz-executor2348) @ 0xffffd98012b1a500, l_stat=2

*** Locks held: none

*** Locks wanted:

* Lock 0 (initialized at uvmspace_alloc)
lock address : 0xffffd98012a8aca8 type : sleep/adaptive
initialized : 0xffffffff81a83ca7
shared holds : 0 exclusive: 0
shares wanted: 0 exclusive: 1
relevant cpu : 1 last held: 65535
relevant lwp : 0xffffd98012b1a500 last held: 000000000000000000
last locked : 0xffffffff81a6c690 unlocked*: 0xffffffff81a6f737
owner/count : 000000000000000000 flags : 000000000000000000
Turnstile: no active turnstile for this lock.

****** LWP 972.972 (syz-executor2348) @ 0xffffd98012b79540, l_stat=7

*** Locks held:

* Lock 0 (initialized at fork1)
lock address : 0xffffd98012b80490 type : sleep/adaptive
initialized : 0xffffffff81afe8ab
shared holds : 0 exclusive: 1
shares wanted: 0 exclusive: 0
relevant cpu : 1 last held: 1
relevant lwp : 0xffffd98012b79540 last held: 0xffffd98012b79540
last locked* : 0xffffffff81afab2b unlocked : 000000000000000000
owner/count : 0xffffd98012b79540 flags : 0x0000000000000004
Turnstile: no active turnstile for this lock.

* Lock 1 (initialized at config_init)
lock address : 0xffffffff83578160 type : sleep/adaptive
initialized : 0xffffffff81b9462a
shared holds : 0 exclusive: 1
shares wanted: 0 exclusive: 0
relevant cpu : 1 last held: 1
relevant lwp : 0xffffd98012b79540 last held: 0xffffd98012b79540
last locked* : 0xffffffff81b96e0c unlocked : 0xffffffff81b9774a
owner field : 0xffffd98012b79540 wait/spin: 0/0
Turnstile: no active turnstile for this lock.

*** Locks wanted: none

****** LWP 1237.1237 (syz-executor2348) @ 0xffffd98012b79980, l_stat=7

*** Locks held:

* Lock 0 (initialized at fork1)
lock address : 0xffffd98012b80810 type : sleep/adaptive
initialized : 0xffffffff81afe8ab
shared holds : 0 exclusive: 1
shares wanted: 0 exclusive: 0
relevant cpu : 0 last held: 0
relevant lwp : 0xffffd98012b79980 last held: 0xffffd98012b79980
last locked* : 0xffffffff81afab2b unlocked : 000000000000000000
owner/count : 0xffffd98012b79980 flags : 0x0000000000000004
Turnstile: no active turnstile for this lock.

*** Locks wanted:

* Lock 0 (initialized at main)
lock address : 0xffffffff83482fc0 type : spin
initialized : 0xffffffff81f7499e
shared holds : 0 exclusive: 1
shares wanted: 0 exclusive: 1
relevant cpu : 0 last held: 1
relevant lwp : 0xffffd98012b79980 last held: 0xffffd98012b79540
last locked* : 0xffffffff81b53fa0 unlocked : 0xffffffff81acfe66
curcpu holds : 3 wanted by: 000000000000000000

****** LWP 546.546 (dhcpcd) @ 0xffffd98012c9b4c0, l_stat=3

*** Locks held: none

*** Locks wanted:

* Lock 0 (initialized at module_hook_init)
lock address : 0xffffffff834830c0 type : sleep/adaptive
initialized : 0xffffffff81b1dd41
shared holds : 0 exclusive: 0
shares wanted: 0 exclusive: 0
relevant cpu : 1 last held: 0
relevant lwp : 0xffffd98012c9b4c0 last held: 000000000000000000
last locked : 000000000000000000 unlocked*: 000000000000000000
owner field : 000000000000000000 wait/spin: 0/0
Turnstile: no active turnstile for this lock.

****** LWP 600.600 (dhcpcd) @ 0xffffd98012c10680, l_stat=3

*** Locks held: none

*** Locks wanted:

* Lock 0 (initialized at module_hook_init)
lock address : 0xffffffff834830c0 type : sleep/adaptive
initialized : 0xffffffff81b1dd41
shared holds : 0 exclusive: 0
shares wanted: 0 exclusive: 0
relevant cpu : 0 last held: 0
relevant lwp : 0xffffd98012c10680 last held: 000000000000000000
last locked : 000000000000000000 unlocked*: 000000000000000000
owner field : 000000000000000000 wait/spin: 0/0
Turnstile: no active turnstile for this lock.

****** LWP 288.288 (dhcpcd) @ 0xffffd98012d928c0, l_stat=3

*** Locks held: none

*** Locks wanted:

* Lock 0 (initialized at module_hook_init)
lock address : 0xffffffff834830c0 type : sleep/adaptive
initialized : 0xffffffff81b1dd41
shared holds : 0 exclusive: 0
shares wanted: 0 exclusive: 0
relevant cpu : 0 last held: 0
relevant lwp : 0xffffd98012d928c0 last held: 000000000000000000
last locked : 000000000000000000 unlocked*: 000000000000000000
owner field : 000000000000000000 wait/spin: 0/0
Turnstile: no active turnstile for this lock.

****** LWP 351.351 (dhcpcd) @ 0xffffd98012d92480, l_stat=3

*** Locks held: none

*** Locks wanted:

* Lock 0 (initialized at module_hook_init)
lock address : 0xffffffff834830c0 type : sleep/adaptive
initialized : 0xffffffff81b1dd41
shared holds : 0 exclusive: 0
shares wanted: 0 exclusive: 0
relevant cpu : 0 last held: 0
relevant lwp : 0xffffd98012d92480 last held: 000000000000000000
last locked : 000000000000000000 unlocked*: 000000000000000000
owner field : 000000000000000000 wait/spin: 0/0
Turnstile: no active turnstile for this lock.

****** LWP 0.11 (iflnkst) @ 0xffffd9800fb4a100, l_stat=3

*** Locks held: none

*** Locks wanted:

* Lock 0 (initialized at module_hook_init)
lock address : 0xffffffff834830c0 type : sleep/adaptive
initialized : 0xffffffff81b1dd41
shared holds : 0 exclusive: 0
shares wanted: 0 exclusive: 0
relevant cpu : 0 last held: 0
relevant lwp : 0xffffd9800fb4a100 last held: 000000000000000000
last locked : 000000000000000000 unlocked*: 000000000000000000
owner field : 000000000000000000 wait/spin: 0/0
Turnstile: no active turnstile for this lock.

****** LWP 0.5 (softclk/0) @ 0xffffd9800fb34080, l_stat=1

*** Locks held: none

*** Locks wanted:

* Lock 0 (initialized at module_hook_init)
lock address : 0xffffffff834830c0 type : sleep/adaptive
initialized : 0xffffffff81b1dd41
shared holds : 0 exclusive: 0
shares wanted: 0 exclusive: 0
relevant cpu : 0 last held: 0
relevant lwp : 0xffffd9800fb34080 last held: 000000000000000000
last locked : 000000000000000000 unlocked*: 000000000000000000
owner field : 000000000000000000 wait/spin: 0/0
Turnstile: no active turnstile for this lock.

****** LWP 0.0 (swapper) @ 0xffffffff83343980, l_stat=3

*** Locks held: none

*** Locks wanted:

* Lock 0 (initialized at module_hook_init)
lock address : 0xffffffff834830c0 type : sleep/adaptive
initialized : 0xffffffff81b1dd41
shared holds : 0 exclusive: 0
shares wanted: 0 exclusive: 0
relevant cpu : 0 last held: 0
relevant lwp : 0xffffffff83343980 last held: 000000000000000000
last locked : 000000000000000000 unlocked*: 000000000000000000
owner field : 000000000000000000 wait/spin: 0/0
Turnstile: no active turnstile for this lock.

[Locks tracked through CPUs]

******* Locks held on cpu1:

* Lock 0 (initialized at main)
lock address : 0xffffffff83482fc0 type : spin
initialized : 0xffffffff81f7499e
shared holds : 0 exclusive: 1
shares wanted: 0 exclusive: 1
relevant cpu : 1 last held: 1
relevant lwp : 0xffffd98012b79540 last held: 0xffffd98012b79540
last locked* : 0xffffffff81b53fa0 unlocked : 0xffffffff81acfe66
curcpu holds : 3 wanted by: 000000000000000000

PAGE FLAG PQ UOBJECT UANON
0xffffd98000017180 0041 00000000 0x0 0x0
0xffffd98000017200 0041 00000000 0x0 0x0
0xffffd98000017280 0041 00000000 0x0 0x0
0xffffd98000017300 0041 00000000 0x0 0x0
0xffffd98000017380 0041 00000000 0x0 0x0
0xffffd98000017400 0041 00000000 0x0 0x0
0xffffd98000017480 0041 00000000 0x0 0x0
0xffffd98000017500 0041 00000000 0x0 0x0
0xffffd98000017580 0041 00000000 0x0 0x0
0xffffd98000017600 0041 00000000 0x0 0x0
0xffffd98000017680 0041 00000000 0x0 0x0
0xffffd98000017700 0041 00000000 0x0 0x0
0xffffd98000017780 0041 00000000 0x0 0x0
0xffffd98000017800 0041 00000000 0x0 0x0
0xffffd98000017880 0041 00000000 0x0 0x0
0xffffd98000017900 0041 00000000 0x0 0x0
0xffffd98000017980 0041 00000000 0x0 0x0
0xffffd98000017a00 0041 00000000 0x0 0x0
0xffffd98000017a80 0041 00000000 0x0 0x0
0xffffd98000017b00 0041 00000000 0x0 0x0
0xffffd98000017b80 0041 00000000 0x0 0x0
0xffffd98000017c00 0041 00000000 0x0 0x0
0xffffd98000017c80 0041 00000000 0x0 0x0
0xffffd98000017d00 0041 00000000 0x0 0x0
0xffffd98000017d80 0041 00000000 0x0 0x0
0xffffd98000017e00 0041 00000000 0x0 0x0
0xffffd98000017e80 0041 00000000 0x0 0x0
0xffffd98000017f00 0041 00000000 0x0 0x0
0xffffd98000017f80 0041 00000000 0x0 0x0
0xffffd98000018000 0041 00000000 0x0 0x0
0xffffd98000018080 0041 00000000 0x0 0x0
0xffffd98000018100 0041 00000000 0x0 0x0
0xffffd98000018180 0041 00000000 0x0 0x0
0xffffd98000018200 0041 00000000 0x0 0x0
0xffffd98000018280 0041 00000000 0x0 0x0
0xffffd98000018300 0041 00000000 0x0 0x0
0xffffd98000018380 0041 00000000 0x0 0x0
0xffffd98000018400 0041 00000000 0x0 0x0
0xffffd98000018480 0041 00000000 0x0 0x0
0xffffd98000018500 0041 00000000 0x0 0x0
0xffffd98000018580 0041 00000000 0x0 0x0
0xffffd98000018600 0041 00000000 0x0 0x0
0xffffd98000018680 0041 00000000 0x0 0x0
0xffffd98000018700 0041 00000000 0x0 0x0
0xffffd98000018780 0041 00000000 0x0 0x0
0xffffd98000018800 0041 00000000 0x0 0x0
0xffffd98000018880 0041 00000000 0x0 0x0
0xffffd98000018900 0041 00000000 0x0 0x0
0xffffd98000018980 0041 00000000 0x0 0x0
0xffffd98000018a00 0041 00000000 0x0 0x0
0xffffd98000018a80 0041 00000000 0x0 0x0
0xffffd98000018b00 0041 00000000 0x0 0x0
0xffffd98000018b80 0041 00000000 0x0 0x0
0xffffd98000018c00 0041 00000000 0x0 0x0
0xffffd98000018c80 0041 00000000 0x0 0x0
0xffffd98000018d00 0041 00000000 0x0 0x0
0xffffd98000018d80 0041 00000000 0x0 0x0
0xffffd98000018e00 0041 00000000 0x0 0x0
0xffffd98000018e80 0041 00000000 0x0 0x0
0xffffd98000018f00 0041 00000000 0x0 0x0
0xffffd98000018f80 0041 00000000 0x0 0x0
0xffffd98000019000 0041 00000000 0x0 0x0
0xffffd98000019080 0041 00000000 0x0 0x0
0xffffd98000019100 0041 00000000 0x0 0x0
0xffffd98000019180 0041 00000000 0x0 0x0
0xffffd98000019200 0041 00000000 0x0 0x0
0xffffd98000019280 0041 00000000 0x0 0x0
0xffffd98000019300 0041 00000000 0x0 0x0
0xffffd98000019380 0041 00000000 0x0 0x0
0xffffd98000019400 0041 00000000 0x0 0x0
0xffffd98000019480 0041 00000000 0x0 0x0
0xffffd98000019500 0041 00000000 0x0 0x0
0xffffd98000019580 0041 00000000 0x0 0x0
0xffffd98000019600 0041 00000000 0x0 0x0
0xffffd98000019680 0041 00000000 0x0 0x0
0xffffd98000019700 0041 00000000 0x0 0x0
0xffffd98000019780 0041 00000000 0x0 0x0
0xffffd98000019800 0041 00000000 0x0 0x0
0xffffd98000019880 0041 00000000 0x0 0x0
0xffffd98000019900 0041 00000000 0x0 0x0
0xffffd98000019980 0041 00000000 0x0 0x0
0xffffd98000019a00 0041 00000000 0x0 0x0
0xffffd98000019a80 0041 00000000 0x0 0x0
0xffffd98000019b00 0041 00000000 0x0 0x0
0xffffd98000019b80 0041 00000000 0x0 0x0
0xffffd98000019c00 0041 00000000 0x0 0x0
0xffffd98000019c80 0041 00000000 0x0 0x0
0xffffd98000019d00 0041 00000000 0x0 0x0
0xffffd98000019d80 0041 00000000 0x0 0x0
0xffffd98000019e00 0041 00000000 0x0 0x0
0xffffd98000019e80 0041 00000000 0x0 0x0
0xffffd98000019f00 0041 00000000 0x0 0x0
0xffffd98000019f80 0041 00000000 0x0 0x0
0xffffd9800001a000 0041 00000000 0x0 0x0
0xffffd9800001a080 0041 00000000 0x0 0x0
0xffffd9800001a100 0041 00000000 0x0 0x0
0xffffd9800001a180 0041 00000000 0x0 0x0
0xffffd9800001a200 0041 00000000 0x0 0x0
0xffffd9800001a280 0041 00000000 0x0 0x0
0xffffd9800001a300 0041 00000000 0x0 0x0
0xffffd9800001a380 0041 00000000 0x0 0x0
0xffffd9800001a400 0041 00000000 0x0 0x0
0xffffd9800001a480 0041 00000000 0x0 0x0
0xffffd9800001a500 0041 00000000 0x0 0x0
0xffffd9800001a580 0041 00000000 0x0 0x0
0xffffd9800001a600 0041 00000000 0x0 0x0
0xffffd9800001a680 0041 00000000 0x0 0x0
0xffffd9800001a700 0041 00000000 0x0 0x0
0xffffd9800001a780 0041 00000000 0x0 0x0
0xffffd9800001a800 0041 00000000 0x0 0x0
0xffffd9800001a880 0041 00000000 0x0 0x0
0xffffd9800001a900 0041 00000000 0x0 0x0
0xffffd9800001a980 0041 00000000 0x0 0x0
0xffffd9800001aa00 0041 00000000 0x0 0x0
0xffffd9800001aa80 0041 00000000 0x0 0x0
0xffffd9800001ab00 0041 00000000 0x0 0x0
0xffffd9800001ab80 0041 00000000 0x0 0x0
0xffffd9800001ac00 0041 00000000 0x0 0x0
0xffffd9800001ac80 0041 00000000 0x0 0x0
0xffffd9800001ad00 0041 00000000 0x0 0x0
0xffffd9800001ad80 0041 00000000 0x0 0x0
0xffffd9800001ae00 0041 00000000 0x0 0x0
0xffffd9800001ae80 0041 00000000 0x0 0x0
0xffffd9800001af00 0041 00000000 0x0 0x0
0xffffd9800001af80 0041 00000000 0x0 0x0
0xffffd9800001b000 0041 00000000 0x0 0x0
0xffffd9800001b080 0041 00000000 0x0 0x0
0xffffd9800001b100 0041 00000000 0x0 0x0
0xffffd9800001b180 0041 00000000 0x0 0x0
0xffffd9800001b200 0041 00000000 0x0 0x0
0xffffd9800001b280 0041 00000000 0x0 0x0
0xffffd9800001b300 0041 00000000 0x0 0x0
0xffffd9800001b380 0041 00000000 0x0 0x0
0xffffd9800001b400 0041 00000000 0x0 0x0
0xffffd9800001b480 0041 00000000 0x0 0x0
0xffffd9800001b500 0041 00000000 0x0 0x0
0xffffd9800001b580 0041 00000000 0x0 0x0
0xffffd9800001b600 0041 00000000 0x0 0x0
0xffffd9800001b680 0041 00000000 0x0 0x0
0xffffd9800001b700 0041 00000000 0x0 0x0
0xffffd9800001b780 0041 00000000 0x0 0x0
0xffffd9800001b800 0041 00000000 0x0 0x0
0xffffd9800001b880 0041 00000000 0x0 0x0
0xffffd9800001b900 0041 00000000 0x0 0x0
0xffffd9800001b980 0041 00000000 0x0 0x0
0xffffd9800001ba00 0041 00000000 0x0 0x0
0xffffd9800001ba80 0001 00000000 0x0 0x0
0xffffd9800001bb00 0001 00000000 0x0 0x0
0xffffd9800001bb80 0001 00000000 0x0 0x0
0xffffd9800001bc00 0001 00000000 0x0 0x0
0xffffd9800001bc80 0001 00000000 0x0 0x0
0xffffd9800001bd00 0001 00000000 0x0 0x0
0xffffd9800001bd80 0001 00000000 0x0 0x0
0xffffd9800001be00 0001 00000000 0x0 0x0
0xffffd9800001be80 0001 00000000 0x0 0x0
0xffffd9800001bf00 0001 00000000 0x0 0x0
0xffffd9800001bf80 0001 00000000 0x0 0x0
0xffffd9800001c000 0001 00000000 0x0 0x0
0xffffd9800001c080 0001 00000000 0x0 0x0
0xffffd9800001c100 0001 00000000 0x0 0x0
0xffffd9800001c180 0001 00000000 0x0 0x0
0xffffd9800001c200 0001 00000000 0x0 0x0
0xffffd9800001c280 0001 00000000 0x0 0x0
0xffffd9800001c300 0001 00000000 0x0 0x0
0xffffd9800001c380 0001 00000000 0x0 0x0
0xffffd9800001c400 0001 00000000 0x0 0x0
0xffffd9800001c480 0001 00000000 0x0 0x0
0xffffd9800001c500 0001 00000000 0x0 0x0
0xffffd9800001c580 0001 00000000 0x0 0x0
0xffffd9800001c600 0001 00000000 0x0 0x0
0xffffd9800001c680 0001 00000000 0x0 0x0
0xffffd9800001c700 0001 00000000 0x0 0x0
0xffffd9800001c780 0001 00000000 0x0 0x0
0xffffd9800001c800 0001 00000000 0x0 0x0
0xffffd9800001c880 0001 00000000 0x0 0x0
0xffffd9800001c900 0001 00000000 0x0 0x0
0xffffd9800001c980 0001 00000000 0x0 0x0
0xffffd9800001ca00 0001 00000000 0x0 0x0
0xffffd9800001ca80 0001 00000000 0x0 0x0
0xffffd9800001cb00 0001 00000000 0x0 0x0
0xffffd9800001cb80 0001 00000000 0x0 0x0
0xffffd9800001cc00 0001 00000000 0x0 0x0
0xffffd9800001cc80 0001 00000000 0x0 0x0
0xffffd9800001cd00 0001 00000000 0x0 0x0
0xffffd9800001cd80 0001 00000000 0x0 0x0
0xffffd9800001ce00 0001 00000000 0x0 0x0
0xffffd9800001ce80 0001 00000000 0x0 0x0
0xffffd9800001cf00 0001 00000000 0x0 0x0
0xffffd9800001cf80 0001 00000000 0x0 0x0
0xffffd9800001d000 0001 00000000 0x0 0x0
0xffffd9800001d080 0001 00000000 0x0 0x0
0xffffd9800001d100 0001 00000000 0x0 0x0
0xffffd9800001d180 0001 00000000 0x0 0x0
0xffffd9800001d200 0001 00000000 0x0 0x0
0xffffd9800001d280 0001 00000000 0x0 0x0
0xffffd9800001d300 0001 00000000 0x0 0x0
0xffffd9800001d380 0001 00000000 0x0 0x0
0xffffd9800001d400 0001 00000000 0x0 0x0
0xffffd9800001d480 0001 00000000 0x0 0x0
0xffffd9800001d500 0001 00000000 0x0 0x0
0xffffd9800001d580 0001 00000000 0x0 0x0
0xffffd9800001d600 0001 00000000 0x0 0x0
0xffffd9800001d680 0001 00000000 0x0 0x0
0xffffd9800001d700 0001 00000000 0x0 0x0
0xffffd9800001d780 0001 00000000 0x0 0x0
0xffffd9800001d800 0001 00000000 0x0 0x0
0xffffd9800001d880 0001 00000000 0x0 0x0
0xffffd9800001d900 0001 00000000 0x0 0x0
0xffffd9800001d980 0001 00000000 0x0 0x0
0xffffd9800001da00 0001 00000000 0x0 0x0
0xffffd9800001da80 0001 00000000 0x0 0x0
0xffffd9800001db00 0001 00000000 0x0 0x0
0xffffd9800001db80 0001 00000000 0x0 0x0
0xffffd9800001dc00 0001 00000000 0x0 0x0
0xffffd9800001dc80 0001 00000000 0x0 0x0
0xffffd9800001dd00 0001 00000000 0x0 0x0
0xffffd9800001dd80 0001 00000000 0x0 0x0
0xffffd9800001de00 0001 00000000 0x0 0x0
0xffffd9800001de80 0001 00000000 0x0 0x0
0xffffd9800001df00 0001 00000000 0x0 0x0
0xffffd9800001df80 0001 00000000 0x0 0x0
0xffffd9800001e000 0001 00000000 0x0 0x0
0xffffd9800001e080 0001 00000000 0x0 0x0
0xffffd9800001e100 0001 00000000 0x0 0x0
0xffffd9800001e180 0001 00000000 0x0 0x0
0xffffd9800001e200 0001 00000000 0x0 0x0
0xffffd9800001e280 0001 00000000 0x0 0x0
0xffffd9800001e300 0001 00000000 0x0 0x0
0xffffd9800001e380 0001 00000000 0x0 0x0
0xffffd9800001e400 0001 00000000 0x0 0x0
0xffffd9800001e480 0001 00000000 0x0 0x0
0xffffd9800001e500 0001 00000000 0x0 0x0
0xffffd9800001e580 0001 00000000 0x0 0x0
0xffffd9800001e600 0001 00000000 0x0 0x0
0xffffd9800001e680 0001 00000000 0x0 0x0
0xffffd9800001e700 0001 00000000 0x0 0x0
0xffffd9800001e780 0001 00000000 0x0 0x0
0xffffd9800001e800 0001 00000000 0x0 0x0
0xffffd9800001e880 0001 00000000 0x0 0x0
0xffffd9800001e900 0001 00000000 0x0 0x0
0xffffd9800001e980 0001 00000000 0x0 0x0
0xffffd9800001ea00 0001 00000000 0x0 0x0
0xffffd9800001ea80 0001 00000000 0x0 0x0
0xffffd9800001eb00 0001 00000000 0x0 0x0
0xffffd9800001eb80 0001 00000000 0x0 0x0
0xffffd9800001ec00 0001 00000000 0x0 0x0
0xffffd9800001ec80 0001 00000000 0x0 0x0
0xffffd9800001ed00 0001 00000000 0x0 0x0
0xffffd9800001ed80 0001 00000000 0x0 0x0
0xffffd9800001ee00 0001 00000000 0x0 0x0
0xffffd9800001ee80 0001 00000000 0x0 0x0
0xffffd9800001ef00 0001 00000000 0x0 0x0
0xffffd9800001ef80 0001 00000000 0x0 0x0
0xffffd9800001f000 0001 00000000 0x0 0x0
0xffffd9800001f080 0001 00000000 0x0 0x0
0xffffd9800001f100 0001 00000000 0x0 0x0
0xffffd9800001f180 0001 00000000 0x0 0x0
0xffffd9800001f200 0001 00000000 0x0 0x0
0xffffd9800001f280 0001 00000000 0x0 0x0
0xffffd9800001f300 0001 00000000 0x0 0x0
0xffffd9800001f380 0001 00000000 0x0 0x0
0xffffd9800001f400 0001 00000000 0x0 0x0
0xffffd9800001f480 0001 00000000 0x0 0x0
0xffffd9800001f500 0001 00000000 0x0 0x0
0xffffd9800001f580 0001 00000000 0x0 0x0
0xffffd9800001f600 0001 00000000 0x0 0x0
0xffffd9800001f680 0001 00000000 0x0 0x0
0xffffd9800001f700 0001 00000000 0x0 0x0
0xffffd9800001f780 0001 00000000 0x0 0x0
0xffffd9800001f800 0001 00000000 0x0 0x0
0xffffd9800001f880 0001 00000000 0x0 0x0
0xffffd9800001f900 0001 00000000 0x0 0x0
0xffffd9800001f980 0001 00000000 0x0 0x0
0xffffd9800001fa00 0001 00000000 0x0 0x0
0xffffd9800001fa80 0001 00000000 0x0 0x0
0xffffd9800001fb00 0001 00000000 0x0 0x0
0xffffd9800001fb80 0001 00000000 0x0 0x0
0xffffd9800001fc00 0001 00000000 0x0 0x0
0xffffd9800001fc80 0001 00000000 0x0 0x0
0xffffd9800001fd00 0001 00000000 0x0 0x0
0xffffd9800001fd80 0001 00000000 0x0 0x0
0xffffd9800001fe00 0001 00000000 0x0 0x0
0xffffd9800001fe80 0001 00000000 0x0 0x0
0xffffd9800001ff00 0001 00000000 0x0 0x0
0xffffd9800001ff80 0001 00000000 0x0 0x0
0xffffd98000020000 0001 00000000 0x0 0x0
0xffffd98000020080 0001 00000000 0x0 0x0
0xffffd98000020100 0001 00000000 0x0 0x0
0xffffd98000020180 0001 00000000 0x0 0x0
0xffffd98000020200 0001 00000000 0x0 0x0
0xffffd98000020280 0001 00000000 0x0 0x0
0xffffd98000020300 0001 00000000 0x0 0x0
0xffffd98000020380 0001 00000000 0x0 0x0
0xffffd98000020400 0001 00000000 0x0 0x0
0xffffd98000020480 0001 00000000 0x0 0x0
0xffffd98000020500 0001 00000000 0x0 0x0
0xffffd98000020580 0001 00000000 0x0 0x0
0xffffd98000020600 0001 00000000 0x0 0x0
0xffffd98000020680 0001 00000000 0x0 0x0
0xffffd98000020700 0001 00000000 0x0 0x0
0xffffd98000020780 0001 00000000 0x0 0x0
0xffffd98000020800 0001 00000000 0x0 0x0
0xffffd98000020880 0001 00000000 0x0 0x0
0xffffd98000020900 0001 00000000 0x0 0x0
0xffffd98000020980 0001 00000000 0x0 0x0
0xffffd98000020a00 0001 00000000 0x0 0x0
0xffffd98000020a80 0001 00000000 0x0 0x0
0xffffd98000020b00 0001 00000000 0x0 0x0
0xffffd98000020b80 0001 00000000 0x0 0x0
0xffffd98000020c00 0001 00000000 0x0 0x0
0xffffd98000020c80 0001 00000000 0x0 0x0
0xffffd98000020d00 0001 00000000 0x0 0x0
0xffffd98000020d80 0001 00000000 0x0 0x0
0xffffd98000020e00 0001 00000000 0x0 0x0
0xffffd98000020e80 0001 00000000 0x0 0x0
0xffffd98000020f00 0001 00000000 0x0 0x0
0xffffd98000020f80 0001 00000000 0x0 0x0
0xffffd98000021000 0001 00000000 0x0 0x0
0xffffd98000021080 0001 00000000 0x0 0x0
0xffffd98000021100 0001 00000000 0x0 0x0
0xffffd98000021180 0001 00000000 0x0 0x0
0xffffd98000021200 0001 00000000 0x0 0x0
0xffffd98000021280 0001 00000000 0x0 0x0
0xffffd98000021300 0001 00000000 0x0 0x0
0xffffd98000021380 0001 00000000 0x0 0x0
0xffffd98000021400 0001 00000000 0x0 0x0
0xffffd98000021480 0001 00000000 0x0 0x0
0xffffd98000021500 0001 00000000 0x0 0x0
0xffffd98000021580 0001 00000000 0x0 0x0
0xffffd98000021600 0001 00000000 0x0 0x0
0xffffd98000021680 0001 00000000 0x0 0x0
0xffffd98000021700 0001 00000000 0x0 0x0
0xffffd98000021780 0001 00000000 0x0 0x0
0xffffd98000021800 0001 00000000 0x0 0x0
0xffffd98000021880 0001 00000000 0x0 0x0
0xffffd98000021900 0001 00000000 0x0 0x0
0xffffd98000021980 0001 00000000 0x0 0x0
0xffffd98000021a00 0001 00000000 0x0 0x0
0xffffd98000021a80 0001 00000000 0x0 0x0
0xffffd98000021b00 0001 00000000 0x0 0x0
0xffffd98000021b80 0001 00000000 0x0 0x0
0xffffd98000021c00 0001 00000000 0x0 0x0
0xffffd98000021c80 0001 00000000 0x0 0x0
0xffffd98000021d00 0001 00000000 0x0 0x0
0xffffd98000021d80 0001 00000000 0x0 0x0
0xffffd98000021e00 0001 00000000 0x0 0x0
0xffffd98000021e80 0001 00000000 0x0 0x0
0xffffd98000021f00 0001 00000000 0x0 0x0
0xffffd98000021f80 0001 00000000 0x0 0x0
0xffffd98000022000 0001 00000000 0x0 0x0
0xffffd98000022080 0001 00000000 0x0 0x0
0xffffd98000022100 0001 00000000 0x0 0x0
0xffffd98000022180 0001 00000000 0x0 0x0
0xffffd98000022200 0001 00000000 0x0 0x0
0xffffd98000022280 0001 00000000 0x0 0x0
0xffffd98000022300 0001 00000000 0x0 0x0
0xffffd98000022380 0001 00000000 0x0 0x0
0xffffd98000022400 0001 00000000 0x0 0x0
0xffffd98000022480 0001 00000000 0x0 0x0
0xffffd98000022500 0001 00000000 0x0 0x0
0xffffd98000022580 0001 00000000 0x0 0x0
0xffffd98000022600 0001 00000000 0x0 0x0
0xffffd98000022680 0001 00000000 0x0 0x0
0xffffd98000022700 0001 00000000 0x0 0x0
0xffffd98000022780 0001 00000000 0x0 0x0
0xffffd98000022800 0001 00000000 0x0 0x0
0xffffd98000022880 0001 00000000 0x0 0x0
0xffffd98000022900 0001 00000000 0x0 0x0
0xffffd98000022980 0001 00000000 0x0 0x0
0xffffd98000022a00 0001 00000000 0x0 0x0
0xffffd98000022a80 0001 00000000 0x0 0x0
0xffffd98000022b00 0001 00000000 0x0 0x0
0xffffd98000022b80 0001 00000000 0x0 0x0
0xffffd98000022c00 0001 00000000 0x0 0x0
0xffffd98000022c80 0001 00000000 0x0 0x0
0xffffd98000022d00 0001 00000000 0x0 0x0
0xffffd98000022d80 0001 00000000 0x0 0x0
0xffffd98000022e00 0001 00000000 0x0 0x0
0xffffd98000022e80 0001 00000000 0x0 0x0
0xffffd98000022f00 0001 00000000 0x0 0x0
0xffffd98000022f80 0001 00000000 0x0 0x0
0xffffd98000023000 0001 00000000 0x0 0x0
0xffffd98000023080 0001 00000000 0x0 0x0
0xffffd98000023100 0001 00000000 0x0 0x0
0xffffd98000023180 0001 00000000 0x0 0x0
0xffffd98000023200 0001 00000000 0x0 0x0
0xffffd98000023280 0001 00000000 0x0 0x0
0xffffd98000023300 0001 00000000 0x0 0x0
0xffffd98000023380 0001 00000000 0x0 0x0
0xffffd98000023400 0001 00000000 0x0 0x0
0xffffd98000023480 0001 00000000 0x0 0x0
0xffffd98000023500 0001 00000000 0x0 0x0
0xffffd98000023580 0001 00000000 0x0 0x0
0xffffd98000023600 0001 00000000 0x0 0x0
0xffffd98000023680 0001 00000000 0x0 0x0
0xffffd98000023700 0001 00000000 0x0 0x0
0xffffd98000023780 0001 00000000 0x0 0x0
0xffffd98000023800 0001 00000000 0x0 0x0
0xffffd98000023880 0001 00000000 0x0 0x0
0xffffd98000023900 0001 00000000 0x0 0x0
0xffffd98000023980 0001 00000000 0x0 0x0
0xffffd98000023a00 0001 00000000 0x0 0x0
0xffffd98000023a80 0001 00000000 0x0 0x0
0xffffd98000023b00 0001 00000000 0x0 0x0
0xffffd98000023b80 0001 00000000 0x0 0x0
0xffffd98000023c00 0001 00000000 0x0 0x0
0xffffd98000023c80 0001 00000000 0x0 0x0
0xffffd98000023d00 0001 00000000 0x0 0x0
0xffffd98000023d80 0001 00000000 0x0 0x0
0xffffd98000023e00 0001 00000000 0x0 0x0
0xffffd98000023e80 0001 00000000 0x0 0x0
0xffffd98000023f00 0001 00000000 0x0 0x0
0xffffd98000023f80 0001 00000000 0x0 0x0
0xffffd98000024000 0001 00000000 0x0 0x0
0xffffd98000024080 0001 00000000 0x0 0x0
0xffffd98000024100 0001 00000000 0x0 0x0
0xffffd98000024180 0001 00000000 0x0 0x0
0xffffd98000024200 0001 00000000 0x0 0x0
0xffffd98000024280 0001 00000000 0x0 0x0
0xffffd98000024300 0001 00000000 0x0 0x0
0xffffd98000024380 0001 00000000 0x0 0x0
0xffffd98000024400 0001 00000000 0x0 0x0
0xffffd98000024480 0001 00000000 0x0 0x0
0xffffd98000024500 0001 00000000 0x0 0x0
0xffffd98000024580 0001 00000000 0x0 0x0
0xffffd98000024600 0001 00000000 0x0 0x0
0xffffd98000024680 0001 00000000 0x0 0x0
0xffffd98000024700 0001 00000000 0x0 0x0
0xffffd98000024780 0001 00000000 0x0 0x0
0xffffd98000024800 0001 00000000 0x0 0x0
0xffffd98000024880 0001 00000000 0x0 0x0
0xffffd98000024900 0001 00000000 0x0 0x0
0xffffd98000024980 0001 00000000 0x0 0x0
0xffffd98000024a00 0001 00000000 0x0 0x0
0xffffd98000024a80 0001 00000000 0x0 0x0
0xffffd98000024b00 0001 00000000 0x0 0x0
0xffffd98000024b80 0001 00000000 0x0 0x0
0xffffd98000024c00 0001 00000000 0x0 0x0
0xffffd98000024c80 0001 00000000 0x0 0x0
0xffffd98000024d00 0001 00000000 0x0 0x0
0xffffd98000024d80 0001 00000000 0x0 0x0
0xffffd98000024e00 0001 00000000 0x0 0x0
0xffffd98000024e80 0001 00000000 0x0 0x0
0xffffd98000024f00 0001 00000000 0x0 0x0
0xffffd98000024f80 0001 00000000 0x0 0x0
0xffffd98000025000 0001 00000000 0x0 0x0
0xffffd98000025080 0001 00000000 0x0 0x0
0xffffd98000025100 0001 00000000 0x0 0x0
0xffffd98000025180 0001 00000000 0x0 0x0
0xffffd98000025200 0001 00000000 0x0 0x0
0xffffd98000025280 0001 00000000 0x0 0x0
0xffffd98000025300 0001 00000000 0x0 0x0
0xffffd98000025380 0001 00000000 0x0 0x0
0xffffd98000025400 0001 00000000 0x0 0x0
0xffffd98000025480 0001 00000000 0x0 0x0
0xffffd98000025500 0001 00000000 0x0 0x0
0xffffd98000025580 0001 00000000 0x0 0x0
0xffffd98000025600 0001 00000000 0x0 0x0
0xffffd98000025680 0001 00000000 0x0 0x0
0xffffd98000025700 0001 00000000 0x0 0x0
0xffffd98000025780 0001 00000000 0x0 0x0
0xffffd98000025800 0001 00000000 0x0 0x0
0xffffd98000025880 0001 00000000 0x0 0x0
0xffffd98000025900 0001 00000000 0x0 0x0
0xffffd98000025980 0001 00000000 0x0 0x0
0xffffd98000025a00 0001 00000000 0x0 0x0
0xffffd98000025a80 0001 00000000 0x0 0x0
0xffffd98000025b00 0001 00000000 0x0 0x0
0xffffd98000025b80 0001 00000000 0x0 0x0
0xffffd98000025c00 0001 00000000 0x0 0x0
0xffffd98000025c80 0001 00000000 0x0 0x0
0xffffd98000025d00 0001 00000000 0x0 0x0
0xffffd98000025d80 0001 00000000 0x0 0x0
0xffffd98000025e00 0001 00000000 0x0 0x0
0xffffd98000025e80 0001 00000000 0x0 0x0
0xffffd98000025f00 0001 00000000 0x0 0x0
0xffffd98000025f80 0001 00000000 0x0 0x0
0xffffd98000026000 0001 00000000 0x0 0x0
0xffffd98000026080 0001 00000000 0x0 0x0
0xffffd98000026100 0001 00000000 0x0 0x0
0xffffd98000026180 0001 00000000 0x0 0x0
0xffffd98000026200 0001 00000000 0x0 0x0
0xffffd98000026280 0001 00000000 0x0 0x0
0xffffd98000026300 0001 00000000 0x0 0x0
0xffffd98000026380 0001 00000000 0x0 0x0
0xffffd98000026400 0001 00000000 0x0 0x0
0xffffd98000026480 0001 00000000 0x0 0x0
0xffffd98000026500 0001 00000000 0x0 0x0
0xffffd98000026580 0001 00000000 0x0 0x0
0xffffd98000026600 0001 00000000 0x0 0x0
0xffffd98000026680 0001 00000000 0x0 0x0
0xffffd98000026700 0001 00000000 0x0 0x0
0xffffd98000026780 0001 00000000 0x0 0x0
0xffffd98000026800 0001 00000000 0x0 0x0
0xffffd98000026880 0001 00000000 0x0 0x0
0xffffd98000026900 0001 00000000 0x0 0x0
0xffffd98000026980 0001 00000000 0x0 0x0
0xffffd98000026a00 0001 00000000 0x0 0x0
0xffffd98000026a80 0001 00000000 0x0 0x0
0xffffd98000026b00 0001 00000000 0x0 0x0
0xffffd98000026b80 0001 00000000 0x0 0x0
0xffffd98000026c00 0001 00000000 0x0 0x0
0xffffd98000026c80 0001 00000000 0x0 0x0
0xffffd98000026d00 0001 00000000 0x0 0x0
0xffffd98000026d80 0001 00000000 0x0 0x0
0xffffd98000026e00 0001 00000000 0x0 0x0
0xffffd98000026e80 0001 00000000 0x0 0x0
0xffffd98000026f00 0001 00000000 0x0 0x0
0xffffd98000026f80 0001 00000000 0x0 0x0
0xffffd98000027000 0001 00000000 0x0 0x0
0xffffd98000027080 0001 00000000 0x0 0x0
0xffffd98000027100 0001 00000000 0x0 0x0
0xffffd98000027180 0001 00000000 0x0 0x0
0xffffd98000027200 0001 00000000 0x0 0x0
0xffffd98000027280 0001 00000000 0x0 0x0
0xffffd98000027300 0001 00000000 0x0 0x0
0xffffd98000027380 0001 00000000 0x0 0x0
0xffffd98000027400 0001 00000000 0x0 0x0
0xffffd98000027480 0001 00000000 0x0 0x0
0xffffd98000027500 0001 00000000 0x0 0x0
0xffffd98000027580 0001 00000000 0x0 0x0
0xffffd98000027600 0001 00000000 0x0 0x0
0xffffd98000027680 0001 00000000 0x0 0x0
0xffffd98000027700 0001 00000000 0x0 0x0
0xffffd98000027780 0001 00000000 0x0 0x0
0xffffd98000027800 0001 00000000 0x0 0x0
0xffffd98000027880 0001 00000000 0x0 0x0
0xffffd98000027900 0001 00000000 0x0 0x0
0xffffd98000027980 0001 00000000 0x0 0x0
0xffffd98000027a00 0001 00000000 0x0 0x0
0xffffd98000027a80 0001 00000000 0x0 0x0
0xffffd98000027b00 0001 00000000 0x0 0x0
0xffffd98000027b80 0001 00000000 0x0 0x0
0xffffd98000027c00 0001 00000000 0x0 0x0
0xffffd98000027c80 0001 00000000 0x0 0x0
0xffffd98000027d00 0001 00000000 0x0 0x0
0xffffd98000027d80 0001 00000000 0x0 0x0
0xffffd98000027e00 0001 00000000 0x0 0x0
0xffffd98000027e80 0001 00000000 0x0 0x0
0xffffd98000027f00 0001 00000000 0x0 0x0
0xffffd98000027f80 0001 00000000 0x0 0x0
0xffffd98000028000 0001 00000000 0x0 0x0
0xffffd98000028080 0001 00000000 0x0 0x0
0xffffd98000028100 0001 00000000 0x0 0x0
0xffffd98000028180 0001 00000000 0x0 0x0
0xffffd98000028200 0001 00000000 0x0 0x0
0xffffd98000028280 0001 00000000 0x0 0x0
0xffffd98000028300 0001 00000000 0x0 0x0
0xffffd98000028380 0001 00000000 0x0 0x0
0xffffd98000028400 0001 00000000 0x0 0x0
0xffffd98000028480 0001 00000000 0x0 0x0
0xffffd98000028500 0001 00000000 0x0 0x0
0xffffd98000028580 0001 00000000 0x0 0x0
0xffffd98000028600 0001 00000000 0x0 0x0
0xffffd98000028680 0001 00000000 0x0 0x0
0xffffd98000028700 0001 00000000 0x0 0x0
0xffffd98000028780 0001 00000000 0x0 0x0
0xffffd98000028800 0001 00000000 0x0 0x0
0xffffd98000028880 0001 00000000 0x0 0x0
0xffffd98000028900 0001 00000000 0x0 0x0
0xffffd98000028980 0001 00000000 0x0 0x0
0xffffd98000028a00 0001 00000000 0x0 0x0
0xffffd98000028a80 0001 00000000 0x0 0x0
0xffffd98000028b00 0001 00000000 0x0 0x0
0xffffd98000028b80 0001 00000000 0x0 0x0
0xffffd98000028c00 0001 00000000 0x0 0x0
0xffffd98000028c80 0001 00000000 0x0 0x0
0xffffd98000028d00 0001 00000000 0x0 0x0
0xffffd98000028d80 0001 00000000 0x0 0x0
0xffffd98000028e00 0001 00000000 0x0 0x0
0xffffd98000028e80 0001 00000000 0x0 0x0
0xffffd98000028f00 0001 00000000 0x0 0x0
0xffffd98000028f80 0001 00000000 0x0 0x0
0xffffd98000029000 0001 00000000 0x0 0x0
0xffffd98000029080 0001 00000000 0x0 0x0
0xffffd98000029100 0001 00000000 0x0 0x0
0xffffd98000029180 0001 00000000 0x0 0x0
0xffffd98000029200 0001 00000000 0x0 0x0
0xffffd98000029280 0001 00000000 0x0 0x0
0xffffd98000029300 0001 00000000 0x0 0x0
0xffffd98000029380 0001 00000000 0x0 0x0
0xffffd98000029400 0001 00000000 0x0 0x0
0xffffd98000029480 0001 00000000 0x0 0x0
0xffffd98000029500 0001 00000000 0x0 0x0
0xffffd98000029580 0001 00000000 0x0 0x0
0xffffd98000029600 0001 00000000 0x0 0x0
0xffffd98000029680 0001 00000000 0x0 0x0
0xffffd98000029700 0001 00000000 0x0 0x0
0xffffd98000029780 0001 00000000 0x0 0x0
0xffffd98000029800 0001 00000000 0x0 0x0
0xffffd98000029880 0001 00000000 0x0 0x0
0xffffd98000029900 0001 00000000 0x0 0x0
0xffffd98000029980 0001 00000000 0x0 0x0
0xffffd98000029a00 0001 00000000 0x0 0x0
0xffffd98000029a80 0001 00000000 0x0 0x0
0xffffd98000029b00 0001 00000000 0x0 0x0
0xffffd98000029b80 0001 00000000 0x0 0x0
0xffffd98000029c00 0001 00000000 0x0 0x0
0xffffd98000029c80 0001 00000000 0x0 0x0
0xffffd98000029d00 0001 00000000 0x0 0x0
0xffffd98000029d80 0001 00000000 0x0 0x0
0xffffd98000029e00 0001 00000000 0x0 0x0
0xffffd98000029e80 0001 00000000 0x0 0x0
0xffffd98000029f00 0001 00000000 0x0 0x0
0xffffd98000029f80 0001 00000000 0x0 0x0
0xffffd9800002a000 0001 00000000 0x0 0x0
0xffffd9800002a080 0001 00000000 0x0 0x0
0xffffd9800002a100 0001 00000000 0x0 0x0
0xffffd9800002a180 0001 00000000 0x0 0x0
0xffffd9800002a200 0001 00000000 0x0 0x0
0xffffd9800002a280 0001 00000000 0x0 0x0
0xffffd9800002a300 0001 00000000 0x0 0x0
0xffffd9800002a380 0001 00000000 0x0 0x0
0xffffd9800002a400 0001 00000000 0x0 0x0
0xffffd9800002a480 0001 00000000 0x0 0x0
0xffffd9800002a500 0001 00000000 0x0 0x0
0xffffd9800002a580 0001 00000000 0x0 0x0
0xffffd9800002a600 0001 00000000 0x0 0x0
0xffffd9800002a680 0001 00000000 0x0 0x0
0xffffd9800002a700 0001 00000000 0x0 0x0
0xffffd9800002a780 0001 00000000 0x0 0x0
0xffffd9800002a800 0001 00000000 0x0 0x0
0xffffd9800002a880 0001 00000000 0x0 0x0
0xffffd9800002a900 0001 00000000 0x0 0x0
0xffffd9800002a980 0001 00000000 0x0 0x0
0xffffd9800002aa00 0001 00000000 0x0 0x0
0xffffd9800002aa80 0001 00000000 0x0 0x0
0xffffd9800002ab00 0001 00000000 0x0 0x0
0xffffd9800002ab80 0001 00000000 0x0 0x0
0xffffd9800002ac00 0001 00000000 0x0 0x0
0xffffd9800002ac80 0001 00000000 0x0 0x0
0xffffd9800002ad00 0001 00000000 0x0 0x0
0xffffd9800002ad80 0001 00000000 0x0 0x0
0xffffd9800002ae00 0001 00000000 0x0 0x0
0xffffd9800002ae80 0001 00000000 0x0 0x0
0xffffd9800002af00 0001 00000000 0x0 0x0
0xffffd9800002af80 0001 00000000 0x0 0x0
0xffffd9800002b000 0001 00000000 0x0 0x0
0xffffd9800002b080 0001 00000000 0x0 0x0
0xffffd9800002b100 0001 00000000 0x0 0x0
0xffffd9800002b180 0001 00000000 0x0 0x0
0xffffd9800002b200 0001 00000000 0x0 0x0
0xffffd9800002b280 0001 00000000 0x0 0x0
0xffffd9800002b300 0001 00000000 0x0 0x0
0xffffd9800002b380 0001 00000000 0x0 0x0
0xffffd9800002b400 0001 00000000 0x0 0x0
0xffffd9800002b480 0001 00000000 0x0 0x0
0xffffd9800002b500 0001 00000000 0x0 0x0
0xffffd9800002b580 0001 00000000 0x0 0x0
0xffffd9800002b600 0001 00000000 0x0 0x0
0xffffd9800002b680 0001 00000000 0x0 0x0
0xffffd9800002b700 0001 00000000 0x0 0x0
0xffffd9800002b780 0001 00000000 0x0 0x0
0xffffd9800002b800 0001 00000000 0x0 0x0
0xffffd9800002b880 0001 00000000 0x0 0x0
0xffffd9800002b900 0001 00000000 0x0 0x0
0xffffd9800002b980 0001 00000000 0x0 0x0
0xffffd9800002ba00 0001 00000000 0x0 0x0
0xffffd9800002ba80 0001 00000000 0x0 0x0
0xffffd9800002bb00 0001 00000000 0x0 0x0
0xffffd9800002bb80 0001
Reply all
Reply to author
Forward
0 new messages