Google Groups no longer supports new Usenet posts or subscriptions. Historical content remains viewable.
Dismiss

Did VHDL-2008 get lost ?

39 views
Skip to first unread message

hssig

unread,
Aug 31, 2010, 9:51:55 AM8/31/10
to
http://groups.google.com/group/comp.lang.vhdl/browse_thread/thread/38842723232ac99/bd632ce31865faf9?lnk=gst&q=VHDL-2008#bd632ce31865faf9

http://groups.google.com/group/comp.lang.vhdl/browse_thread/thread/5bc5e269ca718480/98a26bf8abc952dc?lnk=gst&q=VHDL2008#98a26bf8abc952dc


Are there any news on VHDL-2008 ?
Whether simulation nor synthesis tools do support it considerably. Do
we users have to draw some kind of chain letter to convince Mentor,
Aldec, Synplicity etc. ?

Cheers,
hssig

Tricky

unread,
Aug 31, 2010, 12:07:48 PM8/31/10
to
On 31 Aug, 14:51, hssig <hs...@gmx.net> wrote:
> http://groups.google.com/group/comp.lang.vhdl/browse_thread/thread/38...
>
> http://groups.google.com/group/comp.lang.vhdl/browse_thread/thread/5b...

>
> Are there any news on VHDL-2008 ?
> Whether simulation nor synthesis tools do support it considerably. Do
> we users have to draw some kind of chain letter to convince Mentor,
> Aldec, Synplicity etc. ?
>
> Cheers,
> hssig

Altera started to support VHDL 2008 features in Quartus 9.1, but these
are mostly syntactical support (no built in fixed point library yet,
not even in Q10)

But the big problem is Mentor. Without their support, 2008 wont be
used much for a while, especially as modelsim is the altera simulator
of choice (given its what altera bundle with quartus).

I thought ActiveHDL was supports 2008?

JimLewis

unread,
Aug 31, 2010, 12:23:53 PM8/31/10
to
Hi Hssig,

> Are there any news on VHDL-2008 ?
> Whether simulation nor synthesis tools do support it considerably. Do
> we users have to draw some kind of chain letter to convince Mentor,
> Aldec, Synplicity etc. ?

I think letters are always a good idea as they help vendors assign
priority to tasks they are working on. As a result, be sure to
prioritize the features that mean something to you.

OTOH, I think the 3 you mentioned have been actively working on
their implementation of VHDL-2008. You can see evidence of this
in their documentation.

In release 10.0, Altera mentions VHDL-2008. Xilinx does not seem to
mention implementing anything in VHDL-2008 other than the fixed and
floating point packages.

It would be better to test things out rather than relying on
documentation.
I have some of my and David Bishop's examples that I intend to load so
that people can do that.

Again, letters will encourage them further and help them justify their
investment into the language.

Best,
Jim
SynthWorks VHDL Training

HT-Lab

unread,
Aug 31, 2010, 12:38:56 PM8/31/10
to

"Tricky" <trick...@gmail.com> wrote in message
news:dedab77c-f7ca-47df...@s15g2000yqm.googlegroups.com...

The problem here is not just Mentor, I have been told on several occasions that
Mentor gets very few VHDL2008 request so the lack of support is partly(?) our
fault! I also believe that a contributing factor is that Mentor underestimates
the number of VHDL users and perhaps more worrying they believe we are all
slowly migrating to SystemVerilog......

If you are using VHDL and you are paying maintenance then let Mentor know you
want VHDL2008 support!! It only takes a minute, go to your supportnet page,
raise an SR and say you want VHDL2008 support. Alternatively, email your
distributor and say can I have VHDL2008 support in Modelsim 6.7 please!

>
> I thought ActiveHDL was supports 2008?
>

Yes they do for more than a year now.

Hans
www.ht-lab.com


Charles Gardiner

unread,
Aug 31, 2010, 5:00:03 PM8/31/10
to
hssig schrieb:

Aldec Riviera already supports it pretty well. I'm slowly migrating whereever it
makes sense and haven't encountered any difficulties so far.

vipin lal

unread,
Sep 1, 2010, 4:08:48 AM9/1/10
to
Is it possible to create some vote/public letter to convince Mentor,
Aldec and Synplicity.
The VHDL-2008 language develop team can start such a public voting so
that people can show that they want VHDL-2008 support. It will be much
easier this way than individually mailing the above three.

just wondering,is it possible?

hssig

unread,
Sep 1, 2010, 5:46:21 AM9/1/10
to

Excellent idea. Anybody participating in that voting should indicate
her/his function, VHDL affiliation and tool, I think Mentor is the
first vendor to push.
Of course anybody participating should outline the most important
features to be supported. There should be more public courses offered.
In Germany for example
there would be much interest in seeing those courses for VHDL-2008.

Cheers,
hssig

KJ

unread,
Sep 1, 2010, 8:52:34 AM9/1/10
to
On Sep 1, 4:08 am, vipin lal <wipi...@gmail.com> wrote:
> Is it possible to create some vote/public letter to convince Mentor,
> Aldec and Synplicity.

That vote already occurred. The vote was when the standard was
approved. Mentor and other companies are represented on that public
forum and are participants in the standards development process.

> The VHDL-2008 language develop team can start such a public voting so
> that people can show that they want VHDL-2008 support.

Petitions are easy and in this case likely to be ineffective. Simply
contact Mentor (and others) directly through their support page and
request implementation of whatever VHDL-2008 features you need the
most and ask them when they're scheduling release of full VHDL-2008.
To gather public interest that might be similar to yours, simply take
whatever you've posted with Mentor and whatever their response is to
this group as well. That may spur others to do so similar requests.

> It will be much
> easier this way than individually mailing the above three.
>

I seriously doubt some public vote would be easier than directly
contacting a few companies. I also doubt that it would be effective.
The power of stating "company xyz's tool supports this feature" is
also a useful prod since it potentially is lost revenue then to a
competitor if they can't say they have the feature also.

> just wondering,is it possible?

Sure...many things are possible.

KJ

Andy

unread,
Sep 1, 2010, 9:22:08 AM9/1/10
to
On Sep 1, 7:52 am, KJ <kkjenni...@sbcglobal.net> wrote:
> The power of stating "company xyz's tool supports this feature" is
> also a useful prod since it potentially is lost revenue then to a
> competitor if they can't say they have the feature also.

This has always been my most effective means of getting a vendor to
support a language capability.

Andy

HT-Lab

unread,
Sep 1, 2010, 9:40:15 AM9/1/10
to
I agree with KJ, as I wrote before, raising an SR (by maintenance paying
customers) is more effective than a petition since the only thing that counts is
$$$.

I just spoke to a Mentor engineer and there is some good, bad and worrying news.
The good news is that Modelsim 6.7 (expected around Xmas) will have additional
VHDL-2008 support including "case with don't cares", "simplified conditional
expression (if , ?(=,<,....)", "Array/Scalar Logic Operators" to name a few. The
bad news is that some constructs are not expected until 6.8 (2012?) such as
"generic types on packages" but the worrying one is that constructs like
"simplified case statements", "slices in array aggregates" and "conditional and
selected assignment in sequential code" have no release date at all.

Of course this is all subject to change!

So if you raise an SR you might want to ask for some of the none-release date
constructs :-)

Hans
www.ht-lab.com


"KJ" <kkjen...@sbcglobal.net> wrote in message
news:57811fbb-81cf-4028...@l6g2000yqb.googlegroups.com...

Tricky

unread,
Sep 1, 2010, 9:56:51 AM9/1/10
to
I think I got the same copy/paste reply, 5 mins after raising the
support request. It is all marked as a "tentative" release schedule:

Read out ports
Targeted release: 6.7
Simplified Case Statements
Targeted release: No target release at this time.
Case with don't care (-)
Targeted release: 6.7
Simplified conditional expression (if , ?(=,<,....)
Targeted release: 6.7
Expressions in port maps
Questa/ModelSim supports conv funcs, globally static expressions
in port maps today.
Targeted release: 6.7 (remaining functionality).
Conditional and Selected assignment in sequential code
Targeted release: Questa/ModelSim still investigating
Unary Reduction Operators
Partial support in Questa/ModelSim today. std_ulogic_vector is
not yet supported.
Targeted release: 6.7 (std_ulogic_vector).
Array/Scalar Logic Operators
Partial support in Questa/ModelSim today. std_ulogic_vector is
not yet supported.
Targeted release: 6.7 (std_ulogic_vector).
Slices in array aggregates
Targeted release: No target release at this time.
Source code encryption
Supported today in Questa/ModelSim.
Fixed Point Packages
Questa/ModelSim supports the non-generic version of the packages
in 6.5.
Targeted release: 6.8 (requires generic type capability).
generic type
Targeted release: 6.8.

hssig

unread,
Sep 2, 2010, 8:14:54 AM9/2/10
to
KJ wrote:
>Simply contact Mentor (and others) directly through their support page and
>request implementation of whatever VHDL-2008 features you need

Here we go round in circles, I mean before I am not able to try a new
feature out I can whether assess it nor request it rationally. Or I
request all features and try them out afterwards.

Cheers,
hssig

hssig

unread,
Sep 6, 2010, 4:31:18 AM9/6/10
to
Some additional info to Tricky's road map (from answer to my support
request) :

* Modelsim 6.8 will see full VHDL-2008 support
* Modelsim 6.7 will be available end of this year.
* Support of process(ALL) in ModelSim 6.7, maybe 6.8
* "The point is that one of the several essential factors that can
prioritize the implementation of such enhancement requests implies the
number of customers that requested these. That is why any feedback on
this matter is appreciated so we can continually improve the quality
of our releases."

Cheers,
Heinze


Chris Higgs

unread,
Sep 8, 2010, 4:00:02 AM9/8/10
to
On Sep 6, 9:31 am, hssig <hs...@gmx.net> wrote:
> Some additional info to Tricky's road map (from answer to my support
> request) :
>
> * Modelsim 6.8 will see full VHDL-2008 support

Does "full VHDL-2008 support" include VHPI? Somehow, I doubt it.

David Bishop

unread,
Sep 18, 2010, 6:47:48 PM9/18/10
to

Mentor for some strange reason thinks that their FLI "will work" for
this. Personally I'd rather see a real PLI that is more standard.

David Bishop

unread,
Sep 18, 2010, 6:51:20 PM9/18/10
to
On 9/1/2010 9:56 AM, Tricky wrote:
> I think I got the same copy/paste reply, 5 mins after raising the
> support request. It is all marked as a "tentative" release schedule:

> Fixed Point Packages


> Questa/ModelSim supports the non-generic version of the packages
> in 6.5.
> Targeted release: 6.8 (requires generic type capability).
> generic type

I think I've talk MGC into supporting the base packages before 6.8.
We'll see how it goes.

On:
http://www.vhdl.org/fphdl

I have the source code for an "ieee_proposed" library (as many of the
new functions as I could). These are all work with synthesis.

Chris Higgs

unread,
Sep 20, 2010, 4:55:12 AM9/20/10
to
On Sep 18, 11:47 pm, David Bishop <dbis...@vhdl.org> wrote:

> > Does "full VHDL-2008 support" include VHPI? Somehow, I doubt it.
>
> Mentor for some strange reason thinks that their FLI "will work" for
> this.   Personally I'd rather see a real PLI that is more standard.

VHPI is included in the VHDL-2008 standard and is now _the_ PLI
standard. For a vendor to claim full VHDL-2008 support but not
implement VHPI is a total misnomer.

0 new messages