Google Groups no longer supports new Usenet posts or subscriptions. Historical content remains viewable.
Dismiss

Can I use a crystal for the clock source for a Xilinx Spartan 3A FPGA?

111 views
Skip to first unread message

Dale

unread,
Oct 21, 2009, 3:12:23 PM10/21/09
to
I think I know the answer, but want to make sure I'm not missing
something...
Can I use a crystal as my clock source for the Xilinx Spartan 3A
FPGA? If so, how? Not to insult your intelligence, but please
realize the difference between a crystal oscillator and a crystal.
I've always used a crystal oscillator which outputs the clock right
into the FPGA. Any info is appreciated.

Thanks,
Dale

Peter Alfke

unread,
Oct 21, 2009, 3:54:15 PM10/21/09
to

Dale, stick with the oscillator. Yes, you can drive a xtal with one
output pin, and use a second pin as input, with some reistors and a
capacitor in-between, and it might work, most of the time. But it is
not worth the trouble. A xtal oscillator uses a dedicated analog chip,
made for this special purpose. The FPGA is a digital device, and not
good at such low-power analog functions.
20 years ago, the XC3000 series had 2 dedicated pins to interface to a
xtal. That solution caused us no end of grief.
Stay with the oscillator!
Peter Alfke, formerly Xilinx Applications.

-jg

unread,
Oct 21, 2009, 7:45:19 PM10/21/09
to

Yes, you can, but ideally you need an oscillator gate - which can be
SOT23 sized.

That can be a 1GU04 series, or 2GU04 if you want to improve the slew
rate into the FPGA

Or, you can use ones designed to work with a Crystal

74LVC1GX04
74AUP1Z04
74AUP1Z125 (etc)

-jg


0 new messages