Google Groups no longer supports new Usenet posts or subscriptions. Historical content remains viewable.
Dismiss

Req for Recommendations: Modelsim vs IUS & VCS

108 views
Skip to first unread message

Poojan Wagh

unread,
Feb 6, 2009, 3:50:50 PM2/6/09
to
Hi, all.

Note: I mistakenly cross-posted this to comp.lang.verilog; I meant to
post it here.

I was wondering if anyone had any recommendations for an HDL
simulator. I'm considering Mentor ModelSim, Synopsys VCS, and Cadence
IUS (NC-Sim).

If you had to chose between the 3 for FPGA work, which one would you
chose?

It seems that there's a huge variance in the pricing I'm getting from
the respective EDA vendors and am wondering if the more expensive
simulators are worth it.

Also, I'm mostly a Verilog programmer. Does anyone see a big downside
to getting the ModelSim Verilog-only version?

Background information:
I'm aware of http://groups.google.com/group/comp.lang.verilog/browse_thread/thread/c12a37ded957f3c/
"
which commercial HDL-Simulator for FPGA?", so I was going to go with
ModelSim. However, I'm scratching my head wondering why VCS and IUS
are so much more expensive. I'd like to know what I'm giving up before
I make the plunge.

I've been using Icarus Verilog for simulation up to this point. I'm
also looking at Verilator. However, I've just been given some outside
IP that is compiled (for ModelSim) or encrypted (for VCS or IUS). As a
result, I will need a commercial simulator.

In addition, the IP provides a board-functional model (BFM). My
understanding is that I need a commercial simulator to do this level
of simulation.

General Schvantzkoph

unread,
Feb 6, 2009, 6:21:30 PM2/6/09
to

NC is about 4X the speed of Questa and it's wave browser is also better
although Questa is much improved over the older versions of Modelsim. The
cheap versions of Modelsim that come with the Xilinx and Altera tool sets
are severely crippled, they are much slower than Questa.

Charles Gardiner

unread,
Feb 7, 2009, 3:28:24 AM2/7/09
to

If your looking at Cadence, Mentor and Synopsys, why not also look at
Aldec. They have two on offer: activeHDL for Windows, Riviera for
Lin/Win/Sun.

Functionally, I find they can compare to any of the above and offer a
much better price/performance ratio. Their support is fantastic. I've
been using riviera myself for maybe three years, mostly for FPGA projects.

Poojan Wagh

unread,
Feb 7, 2009, 9:48:14 AM2/7/09
to

My only reason not to go with someone other than "big 3" is that the
IP that I have is pretty locked down. I can simulate with the named 3
tools, but I'm not sure I can simulate with Aldec. Does Aldec support
any encrypted sources?

Charles Gardiner

unread,
Feb 7, 2009, 11:42:36 AM2/7/09
to
Aldec does support encrypted Verilog. As far as I remember the
encryption they use is compatible to what Synplify (now part of
Synopsys) use. I'm not sure about encrypted VHDL, haven't tried it yet.

You can get a twenty-day evaluation license from their site. If you need
a longer test period, my experience is they are quite open to giving you
a node-locked time-limited full license if you contact your local Rep.

Poojan Wagh schrieb:

0 new messages